From patchwork Sat Nov 27 23:59:52 2010 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: Wolfgang Denk X-Patchwork-Id: 73307 Return-Path: X-Original-To: incoming@patchwork.ozlabs.org Delivered-To: patchwork-incoming@bilbo.ozlabs.org Received: from theia.denx.de (theia.denx.de [85.214.87.163]) by ozlabs.org (Postfix) with ESMTP id A86CCB70A9 for ; Sun, 28 Nov 2010 11:03:33 +1100 (EST) Received: from localhost (localhost [127.0.0.1]) by theia.denx.de (Postfix) with ESMTP id DFD7928293; Sun, 28 Nov 2010 01:03:31 +0100 (CET) X-Virus-Scanned: Debian amavisd-new at theia.denx.de Received: from theia.denx.de ([127.0.0.1]) by localhost (theia.denx.de [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id 2L1RHMF1Oqep; Sun, 28 Nov 2010 01:03:31 +0100 (CET) Received: from theia.denx.de (localhost [127.0.0.1]) by theia.denx.de (Postfix) with ESMTP id CF05328257; Sun, 28 Nov 2010 01:03:18 +0100 (CET) Received: from localhost (localhost [127.0.0.1]) by theia.denx.de (Postfix) with ESMTP id 75F1328261 for ; Sun, 28 Nov 2010 01:00:19 +0100 (CET) X-Virus-Scanned: Debian amavisd-new at theia.denx.de Received: from theia.denx.de ([127.0.0.1]) by localhost (theia.denx.de [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id 7wbqpLZB83x7 for ; Sun, 28 Nov 2010 01:00:04 +0100 (CET) X-policyd-weight: NOT_IN_SBL_XBL_SPAMHAUS=-1.5 NOT_IN_SPAMCOP=-1.5 NOT_IN_BL_NJABL=-1.5 (only DNSBL check requested) Received: from mail-out.m-online.net (mail-out.m-online.net [212.18.0.9]) by theia.denx.de (Postfix) with ESMTP id 0CF6628257 for ; Sun, 28 Nov 2010 01:00:02 +0100 (CET) Received: from frontend1.mail.m-online.net (unknown [192.168.8.180]) by mail-out.m-online.net (Postfix) with ESMTP id 08AC71C1D9E5 for ; Sun, 28 Nov 2010 01:00:02 +0100 (CET) X-Auth-Info: BHbyc9oGxQVRRO4vCyj6zwF0ih70G68BKRZ/51SN2aw= Received: from diddl.denx.de (ppp-93-104-37-180.dynamic.mnet-online.de [93.104.37.180]) by smtp-auth.mnet-online.de (Postfix) with ESMTPA id 4DB991C001AC for ; Sun, 28 Nov 2010 00:59:59 +0100 (CET) Received: from gemini.denx.de (gemini.denx.de [10.0.0.2]) by diddl.denx.de (Postfix) with ESMTP id 9AEAB3081226 for ; Sun, 28 Nov 2010 00:59:55 +0100 (CET) Received: by gemini.denx.de (Postfix, from userid 500) id 71F3BB7172; Sun, 28 Nov 2010 00:59:55 +0100 (CET) From: Wolfgang Denk To: u-boot@lists.denx.de Date: Sun, 28 Nov 2010 00:59:52 +0100 Message-Id: <1290902392-12063-1-git-send-email-wd@denx.de> X-Mailer: git-send-email 1.7.3.2 X-Mailman-Approved-At: Sun, 28 Nov 2010 01:03:16 +0100 Subject: [U-Boot] [PATCH] [NEXT] config.mk: move CONFIG_SYS_TEXT_BASE definitions to board config files X-BeenThere: u-boot@lists.denx.de X-Mailman-Version: 2.1.9 Precedence: list List-Id: U-Boot discussion List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , MIME-Version: 1.0 Sender: u-boot-bounces@lists.denx.de Errors-To: u-boot-bounces@lists.denx.de There is a large number of config.mk files that contain only CONFIG_SYS_TEXT_BASE definitions. Move these definitions to the respective board config files and delete the (now empty) config.mk files. Signed-off-by: Wolfgang Denk --- board/LaCie/edminiv2/config.mk | 28 ----------------------- board/afeb9260/config.mk | 1 - board/apollon/config.mk | 25 -------------------- board/armadillo/config.mk | 29 ----------------------- board/armltd/integrator/config.mk | 5 ---- board/armltd/versatile/config.mk | 5 ---- board/assabet/config.mk | 7 ----- board/atmel/at91cap9adk/config.mk | 1 - board/atmel/at91rm9200dk/config.mk | 1 - board/atmel/at91rm9200ek/config.mk | 2 - board/atmel/at91sam9260ek/config.mk | 1 - board/atmel/at91sam9261ek/config.mk | 1 - board/atmel/at91sam9263ek/config.mk | 1 - board/atmel/at91sam9m10g45ek/config.mk | 1 - board/atmel/at91sam9rlek/config.mk | 1 - board/calao/sbc35_a9g20/config.mk | 1 - board/calao/tny_a9260/config.mk | 1 - board/cm4008/config.mk | 1 - board/cm41xx/config.mk | 1 - board/cmc_pu2/config.mk | 3 -- board/cobra5272/config.mk | 25 -------------------- board/csb637/config.mk | 1 - board/davedenx/qong/config.mk | 3 -- board/davinci/dm355evm/config.mk | 11 --------- board/davinci/dm355leopard/config.mk | 6 ----- board/davinci/dm365evm/config.mk | 11 --------- board/davinci/dm6467evm/config.mk | 2 - board/davinci/dvevm/config.mk | 39 -------------------------------- board/davinci/schmoogie/config.mk | 39 -------------------------------- board/davinci/sffsdr/config.mk | 23 ------------------ board/davinci/sonata/config.mk | 39 -------------------------------- board/dbau1x00/config.mk | 32 -------------------------- board/dnp1110/config.mk | 17 -------------- board/ep7312/config.mk | 28 ----------------------- board/esd/meesc/config.mk | 1 - board/esd/otc570/config.mk | 1 - board/esd/tasreg/config.mk | 25 -------------------- board/espt/config.mk | 9 ------- board/eukrea/cpu9260/config.mk | 1 - board/eukrea/cpuat91/config.mk | 1 - board/evb4510/config.mk | 27 ---------------------- board/faraday/a320evb/config.mk | 35 ---------------------------- board/freescale/m5208evbe/config.mk | 25 -------------------- board/freescale/m5249evb/config.mk | 25 -------------------- board/freescale/m5253demo/config.mk | 25 -------------------- board/freescale/m5253evbe/config.mk | 25 -------------------- board/freescale/m5271evb/config.mk | 25 -------------------- board/freescale/m5272c3/config.mk | 25 -------------------- board/freescale/m5275evb/config.mk | 25 -------------------- board/freescale/m5282evb/config.mk | 25 -------------------- board/freescale/m53017evb/config.mk | 25 -------------------- board/freescale/m5329evb/config.mk | 25 -------------------- board/freescale/m5373evb/config.mk | 25 -------------------- board/freescale/m547xevb/config.mk | 25 -------------------- board/freescale/m548xevb/config.mk | 25 -------------------- board/gcplus/config.mk | 13 ---------- board/idmr/config.mk | 25 -------------------- board/impa7/config.mk | 28 ----------------------- board/imx31_phycore/config.mk | 1 - board/incaip/config.mk | 32 -------------------------- board/isee/igep0020/config.mk | 33 --------------------------- board/isee/igep0030/config.mk | 34 --------------------------- board/ixdp425/config.mk | 2 - board/kb9202/config.mk | 1 - board/lart/config.mk | 23 ------------------ board/logicpd/am3517evm/config.mk | 30 ------------------------ board/logicpd/imx27lite/config.mk | 5 ---- board/logicpd/imx31_litekit/config.mk | 1 - board/logicpd/zoom1/config.mk | 33 --------------------------- board/logicpd/zoom2/config.mk | 33 --------------------------- board/lpc2292sodimm/config.mk | 30 ------------------------ board/lpd7a40x/config.mk | 38 ------------------------------- board/m501sk/config.mk | 1 - board/modnet50/config.mk | 29 ----------------------- board/mp2usb/config.mk | 3 -- board/mpl/vcma9/config.mk | 24 ------------------- board/mpr2/config.mk | 37 ------------------------------ board/ms7720se/config.mk | 34 --------------------------- board/ms7722se/config.mk | 31 ------------------------- board/ms7750se/config.mk | 23 ------------------ board/mx1ads/config.mk | 25 -------------------- board/mx1fs2/config.mk | 10 -------- board/netstar/config.mk | 11 --------- board/ns9750dev/config.mk | 16 ------------- board/overo/config.mk | 28 ----------------------- board/pb1x00/config.mk | 32 -------------------------- board/prodrive/pdnb3/config.mk | 2 - board/purple/config.mk | 32 -------------------------- board/qemu-mips/config.mk | 10 -------- board/renesas/MigoR/config.mk | 31 ------------------------- board/renesas/ap325rxa/config.mk | 26 --------------------- board/renesas/r2dplus/config.mk | 23 ------------------ board/renesas/r7780mp/config.mk | 27 ---------------------- board/renesas/rsk7203/config.mk | 28 ----------------------- board/renesas/sh7763rdp/config.mk | 11 --------- board/ronetix/pm9263/config.mk | 1 - board/ronetix/pm9g45/config.mk | 1 - board/samsung/goni/config.mk | 34 --------------------------- board/samsung/smdk2400/config.mk | 25 -------------------- board/samsung/smdk2410/config.mk | 25 -------------------- board/samsung/smdkc100/config.mk | 16 ------------- board/sbc2410x/config.mk | 23 ------------------ board/scb9328/config.mk | 10 -------- board/shannon/config.mk | 23 ------------------ board/siemens/SMN42/config.mk | 30 ------------------------ board/st/nhk8815/config.mk | 26 --------------------- board/sx1/config.mk | 19 --------------- board/syteco/jadecpu/config.mk | 1 - board/tb0229/config.mk | 30 ------------------------ board/ti/beagle/config.mk | 33 --------------------------- board/ti/evm/config.mk | 33 --------------------------- board/ti/omap1510inn/config.mk | 25 -------------------- board/ti/omap1610inn/config.mk | 26 --------------------- board/ti/omap2420h4/config.mk | 28 ----------------------- board/ti/omap5912osk/config.mk | 30 ------------------------ board/ti/omap730p2/config.mk | 25 -------------------- board/ti/panda/config.mk | 31 ------------------------- board/ti/sdp3430/config.mk | 33 --------------------------- board/ti/sdp4430/config.mk | 31 ------------------------- board/ti/tnetv107xevm/config.mk | 20 ---------------- board/voiceblue/config.mk | 1 - board/zylonite/config.mk | 4 --- include/configs/M5208EVBE.h | 2 + include/configs/M5249EVB.h | 2 + include/configs/M5253DEMO.h | 2 + include/configs/M5253EVBE.h | 2 + include/configs/M5271EVB.h | 2 + include/configs/M5272C3.h | 2 + include/configs/M5275EVB.h | 2 + include/configs/M5282EVB.h | 2 + include/configs/M53017EVB.h | 2 + include/configs/M5329EVB.h | 2 + include/configs/M5373EVB.h | 2 + include/configs/M5475EVB.h | 2 + include/configs/M5485EVB.h | 2 + include/configs/MigoR.h | 2 + include/configs/SMN42.h | 2 + include/configs/SX1.h | 2 + include/configs/TASREG.h | 2 + include/configs/VCMA9.h | 2 + include/configs/a320evb.h | 2 + include/configs/afeb9260.h | 2 + include/configs/am3517_evm.h | 2 + include/configs/ap325rxa.h | 2 + include/configs/apollon.h | 2 + include/configs/armadillo.h | 2 + include/configs/assabet.h | 2 + include/configs/at91cap9adk.h | 2 + include/configs/at91rm9200dk.h | 2 + include/configs/at91rm9200ek.h | 2 + include/configs/at91sam9260ek.h | 2 + include/configs/at91sam9261ek.h | 2 + include/configs/at91sam9263ek.h | 2 + include/configs/at91sam9m10g45ek.h | 2 + include/configs/at91sam9rlek.h | 2 + include/configs/cm4008.h | 2 + include/configs/cm41xx.h | 2 + include/configs/cmc_pu2.h | 2 + include/configs/cobra5272.h | 2 + include/configs/cpu9260.h | 2 + include/configs/cpuat91.h | 2 + include/configs/csb637.h | 2 + include/configs/davinci_dm355evm.h | 2 + include/configs/davinci_dm355leopard.h | 2 + include/configs/davinci_dm365evm.h | 2 + include/configs/davinci_dm6467evm.h | 2 + include/configs/davinci_dvevm.h | 2 + include/configs/davinci_schmoogie.h | 2 + include/configs/davinci_sffsdr.h | 2 + include/configs/davinci_sonata.h | 2 + include/configs/dbau1x00.h | 2 + include/configs/dnp1110.h | 2 + include/configs/edminiv2.h | 2 + include/configs/ep7312.h | 2 + include/configs/espt.h | 2 + include/configs/evb4510.h | 2 + include/configs/gcplus.h | 2 + include/configs/idmr.h | 2 + include/configs/igep0020.h | 2 + include/configs/igep0030.h | 2 + include/configs/impa7.h | 2 + include/configs/imx27lite-common.h | 2 + include/configs/imx31_litekit.h | 2 + include/configs/imx31_phycore.h | 2 + include/configs/incaip.h | 2 + include/configs/integratorap.h | 2 + include/configs/integratorcp.h | 2 + include/configs/ixdp425.h | 2 + include/configs/jadecpu.h | 2 + include/configs/kb9202.h | 2 + include/configs/lart.h | 2 + include/configs/lpc2292sodimm.h | 2 + include/configs/lpd7a400-10.h | 2 + include/configs/lpd7a400.h | 2 + include/configs/lpd7a404-10.h | 2 + include/configs/lpd7a404.h | 2 + include/configs/m501sk.h | 2 + include/configs/meesc.h | 2 + include/configs/modnet50.h | 2 + include/configs/mp2usb.h | 2 + include/configs/mpr2.h | 2 + include/configs/ms7720se.h | 2 + include/configs/ms7722se.h | 2 + include/configs/ms7750se.h | 2 + include/configs/mx1ads.h | 2 + include/configs/mx1fs2.h | 2 + include/configs/netstar.h | 2 + include/configs/nhk8815.h | 2 + include/configs/ns9750dev.h | 2 + include/configs/omap1510inn.h | 2 + include/configs/omap1610inn.h | 2 + include/configs/omap2420h4.h | 2 + include/configs/omap3_beagle.h | 2 + include/configs/omap3_evm.h | 2 + include/configs/omap3_overo.h | 2 + include/configs/omap3_sdp3430.h | 2 + include/configs/omap3_zoom1.h | 2 + include/configs/omap3_zoom2.h | 2 + include/configs/omap4_panda.h | 2 + include/configs/omap4_sdp4430.h | 2 + include/configs/omap5912osk.h | 2 + include/configs/omap730p2.h | 2 + include/configs/otc570.h | 2 + include/configs/pb1x00.h | 2 + include/configs/pdnb3.h | 2 + include/configs/pm9263.h | 2 + include/configs/pm9g45.h | 2 + include/configs/purple.h | 2 + include/configs/qemu-mips.h | 2 + include/configs/qong.h | 2 + include/configs/r2dplus.h | 2 + include/configs/r7780mp.h | 2 + include/configs/rsk7203.h | 2 + include/configs/s5p_goni.h | 2 + include/configs/sbc2410x.h | 2 + include/configs/sbc35_a9g20.h | 2 + include/configs/scb9328.h | 2 + include/configs/sh7763rdp.h | 2 + include/configs/shannon.h | 2 + include/configs/smdk2400.h | 2 + include/configs/smdk2410.h | 2 + include/configs/smdkc100.h | 2 + include/configs/tb0229.h | 2 + include/configs/tnetv107x_evm.h | 2 + include/configs/tny_a9260.h | 2 + include/configs/versatile.h | 2 + include/configs/voiceblue.h | 2 + include/configs/zylonite.h | 2 + 248 files changed, 252 insertions(+), 2257 deletions(-) delete mode 100644 board/LaCie/edminiv2/config.mk delete mode 100644 board/afeb9260/config.mk delete mode 100644 board/apollon/config.mk delete mode 100644 board/armadillo/config.mk delete mode 100644 board/armltd/integrator/config.mk delete mode 100644 board/armltd/versatile/config.mk delete mode 100644 board/assabet/config.mk delete mode 100644 board/atmel/at91cap9adk/config.mk delete mode 100644 board/atmel/at91rm9200dk/config.mk delete mode 100644 board/atmel/at91rm9200ek/config.mk delete mode 100644 board/atmel/at91sam9260ek/config.mk delete mode 100644 board/atmel/at91sam9261ek/config.mk delete mode 100644 board/atmel/at91sam9263ek/config.mk delete mode 100644 board/atmel/at91sam9m10g45ek/config.mk delete mode 100644 board/atmel/at91sam9rlek/config.mk delete mode 100644 board/calao/sbc35_a9g20/config.mk delete mode 100644 board/calao/tny_a9260/config.mk delete mode 100644 board/cm4008/config.mk delete mode 100644 board/cm41xx/config.mk delete mode 100644 board/cmc_pu2/config.mk delete mode 100644 board/cobra5272/config.mk delete mode 100644 board/csb637/config.mk delete mode 100644 board/davedenx/qong/config.mk delete mode 100644 board/davinci/dm355evm/config.mk delete mode 100644 board/davinci/dm355leopard/config.mk delete mode 100644 board/davinci/dm365evm/config.mk delete mode 100644 board/davinci/dm6467evm/config.mk delete mode 100644 board/davinci/dvevm/config.mk delete mode 100644 board/davinci/schmoogie/config.mk delete mode 100644 board/davinci/sffsdr/config.mk delete mode 100644 board/davinci/sonata/config.mk delete mode 100644 board/dbau1x00/config.mk delete mode 100644 board/dnp1110/config.mk delete mode 100644 board/ep7312/config.mk delete mode 100644 board/esd/meesc/config.mk delete mode 100644 board/esd/otc570/config.mk delete mode 100644 board/esd/tasreg/config.mk delete mode 100644 board/espt/config.mk delete mode 100644 board/eukrea/cpu9260/config.mk delete mode 100644 board/eukrea/cpuat91/config.mk delete mode 100644 board/evb4510/config.mk delete mode 100644 board/faraday/a320evb/config.mk delete mode 100644 board/freescale/m5208evbe/config.mk delete mode 100644 board/freescale/m5249evb/config.mk delete mode 100644 board/freescale/m5253demo/config.mk delete mode 100644 board/freescale/m5253evbe/config.mk delete mode 100644 board/freescale/m5271evb/config.mk delete mode 100644 board/freescale/m5272c3/config.mk delete mode 100644 board/freescale/m5275evb/config.mk delete mode 100644 board/freescale/m5282evb/config.mk delete mode 100644 board/freescale/m53017evb/config.mk delete mode 100644 board/freescale/m5329evb/config.mk delete mode 100644 board/freescale/m5373evb/config.mk delete mode 100644 board/freescale/m547xevb/config.mk delete mode 100644 board/freescale/m548xevb/config.mk delete mode 100644 board/gcplus/config.mk delete mode 100644 board/idmr/config.mk delete mode 100644 board/impa7/config.mk delete mode 100644 board/imx31_phycore/config.mk delete mode 100644 board/incaip/config.mk delete mode 100644 board/isee/igep0020/config.mk delete mode 100644 board/isee/igep0030/config.mk delete mode 100644 board/ixdp425/config.mk delete mode 100644 board/kb9202/config.mk delete mode 100644 board/lart/config.mk delete mode 100644 board/logicpd/am3517evm/config.mk delete mode 100644 board/logicpd/imx27lite/config.mk delete mode 100644 board/logicpd/imx31_litekit/config.mk delete mode 100644 board/logicpd/zoom1/config.mk delete mode 100644 board/logicpd/zoom2/config.mk delete mode 100644 board/lpc2292sodimm/config.mk delete mode 100644 board/lpd7a40x/config.mk delete mode 100644 board/m501sk/config.mk delete mode 100644 board/modnet50/config.mk delete mode 100644 board/mp2usb/config.mk delete mode 100644 board/mpl/vcma9/config.mk delete mode 100644 board/mpr2/config.mk delete mode 100644 board/ms7720se/config.mk delete mode 100644 board/ms7722se/config.mk delete mode 100644 board/ms7750se/config.mk delete mode 100644 board/mx1ads/config.mk delete mode 100644 board/mx1fs2/config.mk delete mode 100644 board/netstar/config.mk delete mode 100644 board/ns9750dev/config.mk delete mode 100644 board/overo/config.mk delete mode 100644 board/pb1x00/config.mk delete mode 100644 board/prodrive/pdnb3/config.mk delete mode 100644 board/purple/config.mk delete mode 100644 board/qemu-mips/config.mk delete mode 100644 board/renesas/MigoR/config.mk delete mode 100644 board/renesas/ap325rxa/config.mk delete mode 100644 board/renesas/r2dplus/config.mk delete mode 100644 board/renesas/r7780mp/config.mk delete mode 100644 board/renesas/rsk7203/config.mk delete mode 100644 board/renesas/sh7763rdp/config.mk delete mode 100644 board/ronetix/pm9263/config.mk delete mode 100644 board/ronetix/pm9g45/config.mk delete mode 100644 board/samsung/goni/config.mk delete mode 100644 board/samsung/smdk2400/config.mk delete mode 100644 board/samsung/smdk2410/config.mk delete mode 100644 board/samsung/smdkc100/config.mk delete mode 100644 board/sbc2410x/config.mk delete mode 100644 board/scb9328/config.mk delete mode 100644 board/shannon/config.mk delete mode 100644 board/siemens/SMN42/config.mk delete mode 100644 board/st/nhk8815/config.mk delete mode 100644 board/sx1/config.mk delete mode 100644 board/syteco/jadecpu/config.mk delete mode 100644 board/tb0229/config.mk delete mode 100644 board/ti/beagle/config.mk delete mode 100644 board/ti/evm/config.mk delete mode 100644 board/ti/omap1510inn/config.mk delete mode 100644 board/ti/omap1610inn/config.mk delete mode 100644 board/ti/omap2420h4/config.mk delete mode 100644 board/ti/omap5912osk/config.mk delete mode 100644 board/ti/omap730p2/config.mk delete mode 100644 board/ti/panda/config.mk delete mode 100644 board/ti/sdp3430/config.mk delete mode 100644 board/ti/sdp4430/config.mk delete mode 100644 board/ti/tnetv107xevm/config.mk delete mode 100644 board/voiceblue/config.mk delete mode 100644 board/zylonite/config.mk diff --git a/board/LaCie/edminiv2/config.mk b/board/LaCie/edminiv2/config.mk deleted file mode 100644 index 2ffd125..0000000 --- a/board/LaCie/edminiv2/config.mk +++ /dev/null @@ -1,28 +0,0 @@ -# -# Copyright (C) 2010 Albert ARIBAUD -# -# (C) Copyright 2009 -# Marvell Semiconductor -# Written-by: Prafulla Wadaskar -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, -# MA 02110-1301 USA -# - -# TEXT_BASE must equal the intended FLASH location of u-boot. -CONFIG_SYS_TEXT_BASE = 0xfff90000 diff --git a/board/afeb9260/config.mk b/board/afeb9260/config.mk deleted file mode 100644 index 2077692..0000000 --- a/board/afeb9260/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x21f00000 diff --git a/board/apollon/config.mk b/board/apollon/config.mk deleted file mode 100644 index 66005d4..0000000 --- a/board/apollon/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2005-2007 -# Samsung Electronics -# -# Samsung December board with OMAP2420 (ARM1136) cpu -# see http://www.ti.com/ for more information on Texas Instruments -# -# December has 1 bank of 128MB mDDR-SDRAM on CS0 -# December has 1 bank of 00MB mDDR-SDRAM on CS1 -# Physical Address: -# 8000'0000 (bank0) -# A000/0000 (bank1) ES2 will be configurable -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) -# For use with external or internal boots. -CONFIG_SYS_TEXT_BASE = 0x83e80000 - -# Used with full SRAM boot. -# This is either with a GP system or a signed boot image. -# easiest, and safest way to go if you can. -#CONFIG_SYS_TEXT_BASE = 0x40270000 - -# Handy to get symbols to debug ROM version. -#CONFIG_SYS_TEXT_BASE = 0x0 -#CONFIG_SYS_TEXT_BASE = 0x08000000 diff --git a/board/armadillo/config.mk b/board/armadillo/config.mk deleted file mode 100644 index ecb8b74..0000000 --- a/board/armadillo/config.mk +++ /dev/null @@ -1,29 +0,0 @@ -# -# (C) Copyright 2000 -# Sysgo Real-Time Solutions, GmbH -# Marius Groeger -# -# (C) Copyright 2000 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -#address where u-boot will be relocated -CONFIG_SYS_TEXT_BASE = 0xc0f80000 diff --git a/board/armltd/integrator/config.mk b/board/armltd/integrator/config.mk deleted file mode 100644 index 8b57af1..0000000 --- a/board/armltd/integrator/config.mk +++ /dev/null @@ -1,5 +0,0 @@ -# -# image should be loaded at 0x01000000 -# - -CONFIG_SYS_TEXT_BASE = 0x01000000 diff --git a/board/armltd/versatile/config.mk b/board/armltd/versatile/config.mk deleted file mode 100644 index 8b57af1..0000000 --- a/board/armltd/versatile/config.mk +++ /dev/null @@ -1,5 +0,0 @@ -# -# image should be loaded at 0x01000000 -# - -CONFIG_SYS_TEXT_BASE = 0x01000000 diff --git a/board/assabet/config.mk b/board/assabet/config.mk deleted file mode 100644 index d9866a0..0000000 --- a/board/assabet/config.mk +++ /dev/null @@ -1,7 +0,0 @@ -# -# SA-1110 based Intel Assabet board -# -# The Intel Assabet 1 bank of 32 MiB SDRAM -# - -CONFIG_SYS_TEXT_BASE = 0xc1f00000 diff --git a/board/atmel/at91cap9adk/config.mk b/board/atmel/at91cap9adk/config.mk deleted file mode 100644 index 797da0e..0000000 --- a/board/atmel/at91cap9adk/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x73000000 diff --git a/board/atmel/at91rm9200dk/config.mk b/board/atmel/at91rm9200dk/config.mk deleted file mode 100644 index 2077692..0000000 --- a/board/atmel/at91rm9200dk/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x21f00000 diff --git a/board/atmel/at91rm9200ek/config.mk b/board/atmel/at91rm9200ek/config.mk deleted file mode 100644 index c7323fe..0000000 --- a/board/atmel/at91rm9200ek/config.mk +++ /dev/null @@ -1,2 +0,0 @@ -# currently only NOR flash booting is supported -CONFIG_SYS_TEXT_BASE = 0x10000000 diff --git a/board/atmel/at91sam9260ek/config.mk b/board/atmel/at91sam9260ek/config.mk deleted file mode 100644 index e554a45..0000000 --- a/board/atmel/at91sam9260ek/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x23f00000 diff --git a/board/atmel/at91sam9261ek/config.mk b/board/atmel/at91sam9261ek/config.mk deleted file mode 100644 index e554a45..0000000 --- a/board/atmel/at91sam9261ek/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x23f00000 diff --git a/board/atmel/at91sam9263ek/config.mk b/board/atmel/at91sam9263ek/config.mk deleted file mode 100644 index e554a45..0000000 --- a/board/atmel/at91sam9263ek/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x23f00000 diff --git a/board/atmel/at91sam9m10g45ek/config.mk b/board/atmel/at91sam9m10g45ek/config.mk deleted file mode 100644 index 9d3c5ae..0000000 --- a/board/atmel/at91sam9m10g45ek/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x73f00000 diff --git a/board/atmel/at91sam9rlek/config.mk b/board/atmel/at91sam9rlek/config.mk deleted file mode 100644 index e554a45..0000000 --- a/board/atmel/at91sam9rlek/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x23f00000 diff --git a/board/calao/sbc35_a9g20/config.mk b/board/calao/sbc35_a9g20/config.mk deleted file mode 100644 index e554a45..0000000 --- a/board/calao/sbc35_a9g20/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x23f00000 diff --git a/board/calao/tny_a9260/config.mk b/board/calao/tny_a9260/config.mk deleted file mode 100644 index e554a45..0000000 --- a/board/calao/tny_a9260/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x23f00000 diff --git a/board/cm4008/config.mk b/board/cm4008/config.mk deleted file mode 100644 index 0d5923b..0000000 --- a/board/cm4008/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x00f00000 diff --git a/board/cm41xx/config.mk b/board/cm41xx/config.mk deleted file mode 100644 index 0d5923b..0000000 --- a/board/cm41xx/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x00f00000 diff --git a/board/cmc_pu2/config.mk b/board/cmc_pu2/config.mk deleted file mode 100644 index cdb8a5f..0000000 --- a/board/cmc_pu2/config.mk +++ /dev/null @@ -1,3 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x20F00000 -## For testing: load at 0x20100000 and "go" at 0x201000A4 -#CONFIG_SYS_TEXT_BASE = 0x20100000 diff --git a/board/cobra5272/config.mk b/board/cobra5272/config.mk deleted file mode 100644 index 5b8c608..0000000 --- a/board/cobra5272/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0xffe00000 diff --git a/board/csb637/config.mk b/board/csb637/config.mk deleted file mode 100644 index e2cc8a6..0000000 --- a/board/csb637/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x23fc0000 diff --git a/board/davedenx/qong/config.mk b/board/davedenx/qong/config.mk deleted file mode 100644 index ea1c1b0..0000000 --- a/board/davedenx/qong/config.mk +++ /dev/null @@ -1,3 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0xa0000000 - -# PLATFORM_CPPFLAGS += -DDEBUG diff --git a/board/davinci/dm355evm/config.mk b/board/davinci/dm355evm/config.mk deleted file mode 100644 index 9a06300..0000000 --- a/board/davinci/dm355evm/config.mk +++ /dev/null @@ -1,11 +0,0 @@ -# -# Spectrum Digital DM355 EVM board -# dm355evm board has 1 bank of 128 MB DDR RAM -# Physical Address: 8000'0000 to 8800'0000 -# -# Linux Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) -# - -#Provide at least 16MB spacing between us and the Linux Kernel image -CONFIG_SYS_TEXT_BASE = 0x81080000 diff --git a/board/davinci/dm355leopard/config.mk b/board/davinci/dm355leopard/config.mk deleted file mode 100644 index 28ff3f3..0000000 --- a/board/davinci/dm355leopard/config.mk +++ /dev/null @@ -1,6 +0,0 @@ -# Linux Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) -# - -#Provide at least 16MB spacing between us and the Linux Kernel image -CONFIG_SYS_TEXT_BASE = 0x81080000 diff --git a/board/davinci/dm365evm/config.mk b/board/davinci/dm365evm/config.mk deleted file mode 100644 index 7b1e900..0000000 --- a/board/davinci/dm365evm/config.mk +++ /dev/null @@ -1,11 +0,0 @@ -# -# Spectrum Digital DM365 EVM board -# DM365 EVM board has 1 bank of 128 MB DDR RAM -# Physical Address: 8000'0000 to 8800'0000 -# -# Linux Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) -# - -#Provide at least 16MB spacing between us and the Linux Kernel image -CONFIG_SYS_TEXT_BASE = 0x81080000 diff --git a/board/davinci/dm6467evm/config.mk b/board/davinci/dm6467evm/config.mk deleted file mode 100644 index 3751043..0000000 --- a/board/davinci/dm6467evm/config.mk +++ /dev/null @@ -1,2 +0,0 @@ -#Provide at least 16MB spacing between us and the Linux Kernel image -CONFIG_SYS_TEXT_BASE = 0x81080000 diff --git a/board/davinci/dvevm/config.mk b/board/davinci/dvevm/config.mk deleted file mode 100644 index ed80707..0000000 --- a/board/davinci/dvevm/config.mk +++ /dev/null @@ -1,39 +0,0 @@ -# -# (C) Copyright 2002 -# Gary Jennejohn, DENX Software Engineering, -# David Mueller, ELSOFT AG, -# -# (C) Copyright 2003 -# Texas Instruments, -# Swaminathan -# -# Davinci EVM board (ARM925EJS) cpu -# see http://www.ti.com/ for more information on Texas Instruments -# -# Davinci EVM has 1 bank of 256 MB DDR RAM -# Physical Address: -# 8000'0000 to 9000'0000 -# -# Copyright (C) 2007 Sergey Kubushyn -# -# Visioneering Corp. Sonata board (ARM926EJS) cpu -# -# Sonata board has 1 bank of 128 MB DDR RAM -# Physical Address: -# 8000'0000 to 8800'0000 -# -# Razorstream, LLC. SCHMOOGIE board (ARM926EJS) cpu -# -# Schmoogie board has 1 bank of 128 MB DDR RAM -# Physical Address: -# 8000'0000 to 8800'0000 -# -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) -# -# we load ourself to 8108 '0000 -# -# - -#Provide at least 16MB spacing between us and the Linux Kernel image -CONFIG_SYS_TEXT_BASE = 0x81080000 diff --git a/board/davinci/schmoogie/config.mk b/board/davinci/schmoogie/config.mk deleted file mode 100644 index ed80707..0000000 --- a/board/davinci/schmoogie/config.mk +++ /dev/null @@ -1,39 +0,0 @@ -# -# (C) Copyright 2002 -# Gary Jennejohn, DENX Software Engineering, -# David Mueller, ELSOFT AG, -# -# (C) Copyright 2003 -# Texas Instruments, -# Swaminathan -# -# Davinci EVM board (ARM925EJS) cpu -# see http://www.ti.com/ for more information on Texas Instruments -# -# Davinci EVM has 1 bank of 256 MB DDR RAM -# Physical Address: -# 8000'0000 to 9000'0000 -# -# Copyright (C) 2007 Sergey Kubushyn -# -# Visioneering Corp. Sonata board (ARM926EJS) cpu -# -# Sonata board has 1 bank of 128 MB DDR RAM -# Physical Address: -# 8000'0000 to 8800'0000 -# -# Razorstream, LLC. SCHMOOGIE board (ARM926EJS) cpu -# -# Schmoogie board has 1 bank of 128 MB DDR RAM -# Physical Address: -# 8000'0000 to 8800'0000 -# -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) -# -# we load ourself to 8108 '0000 -# -# - -#Provide at least 16MB spacing between us and the Linux Kernel image -CONFIG_SYS_TEXT_BASE = 0x81080000 diff --git a/board/davinci/sffsdr/config.mk b/board/davinci/sffsdr/config.mk deleted file mode 100644 index 4fe9007..0000000 --- a/board/davinci/sffsdr/config.mk +++ /dev/null @@ -1,23 +0,0 @@ -# -# (C) Copyright 2002 -# Gary Jennejohn, DENX Software Engineering, -# David Mueller, ELSOFT AG, -# -# Copyright (C) 2008 Lyrtech -# Copyright (C) 2008 Philip Balister, OpenSDR -# -# Lyrtech SFF SDR board (ARM926EJS) cpu -# -# SFF SDR board has 1 bank of 128 MB DDR RAM -# Physical Address: -# 8000'0000 to 87FF'FFFF -# -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) -# -# Integrity kernel is expected to be at 8000'0000, entry 8000'00D0, -# up to 81FF'FFFF (uses up to 32 MB of memory for text, heap, etc). -# -# we load ourself to 8400'0000 to provide at least 32MB spacing -# between us and the Integrity kernel image -CONFIG_SYS_TEXT_BASE = 0x84000000 diff --git a/board/davinci/sonata/config.mk b/board/davinci/sonata/config.mk deleted file mode 100644 index ed80707..0000000 --- a/board/davinci/sonata/config.mk +++ /dev/null @@ -1,39 +0,0 @@ -# -# (C) Copyright 2002 -# Gary Jennejohn, DENX Software Engineering, -# David Mueller, ELSOFT AG, -# -# (C) Copyright 2003 -# Texas Instruments, -# Swaminathan -# -# Davinci EVM board (ARM925EJS) cpu -# see http://www.ti.com/ for more information on Texas Instruments -# -# Davinci EVM has 1 bank of 256 MB DDR RAM -# Physical Address: -# 8000'0000 to 9000'0000 -# -# Copyright (C) 2007 Sergey Kubushyn -# -# Visioneering Corp. Sonata board (ARM926EJS) cpu -# -# Sonata board has 1 bank of 128 MB DDR RAM -# Physical Address: -# 8000'0000 to 8800'0000 -# -# Razorstream, LLC. SCHMOOGIE board (ARM926EJS) cpu -# -# Schmoogie board has 1 bank of 128 MB DDR RAM -# Physical Address: -# 8000'0000 to 8800'0000 -# -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) -# -# we load ourself to 8108 '0000 -# -# - -#Provide at least 16MB spacing between us and the Linux Kernel image -CONFIG_SYS_TEXT_BASE = 0x81080000 diff --git a/board/dbau1x00/config.mk b/board/dbau1x00/config.mk deleted file mode 100644 index 3516b42..0000000 --- a/board/dbau1x00/config.mk +++ /dev/null @@ -1,32 +0,0 @@ -# -# (C) Copyright 2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -# -# AMD development board AMD Alchemy DbAu1x00, MIPS32 core -# - -# ROM version -CONFIG_SYS_TEXT_BASE = 0xbfc00000 - -# RAM version -#CONFIG_SYS_TEXT_BASE = 0x80100000 diff --git a/board/dnp1110/config.mk b/board/dnp1110/config.mk deleted file mode 100644 index ccf8277..0000000 --- a/board/dnp1110/config.mk +++ /dev/null @@ -1,17 +0,0 @@ -# -# DNP/1110 board with SA1100 cpu -# -# http://www.dilnetpc.com -# - -# -# DILNETPC has 1 banks of 32 MB DRAM -# -# c000'0000 -# -# Linux-Kernel is expected to be at c000'8000, entry c000'8000 -# -# we load ourself to c1f8'0000, the upper 1 MB of the first (only) bank -# - -CONFIG_SYS_TEXT_BASE = 0xc1f80000 diff --git a/board/ep7312/config.mk b/board/ep7312/config.mk deleted file mode 100644 index bdd08b8..0000000 --- a/board/ep7312/config.mk +++ /dev/null @@ -1,28 +0,0 @@ -# -# (C) Copyright 2000 -# Sysgo Real-Time Solutions, GmbH -# Marius Groeger -# -# (C) Copyright 2000 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0xc0f80000 diff --git a/board/esd/meesc/config.mk b/board/esd/meesc/config.mk deleted file mode 100644 index 2077692..0000000 --- a/board/esd/meesc/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x21f00000 diff --git a/board/esd/otc570/config.mk b/board/esd/otc570/config.mk deleted file mode 100644 index e554a45..0000000 --- a/board/esd/otc570/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x23f00000 diff --git a/board/esd/tasreg/config.mk b/board/esd/tasreg/config.mk deleted file mode 100644 index 7ee4777..0000000 --- a/board/esd/tasreg/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0xffc00000 diff --git a/board/espt/config.mk b/board/espt/config.mk deleted file mode 100644 index 21b51de..0000000 --- a/board/espt/config.mk +++ /dev/null @@ -1,9 +0,0 @@ -# -# board/espt/config.mk -# -# CONFIG_SYS_TEXT_BASE refers to image _after_ relocation. -# -# NOTE: Must match value used in u-boot.lds (in this directory). -# - -CONFIG_SYS_TEXT_BASE = 0x8FFC0000 diff --git a/board/eukrea/cpu9260/config.mk b/board/eukrea/cpu9260/config.mk deleted file mode 100644 index 2077692..0000000 --- a/board/eukrea/cpu9260/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x21f00000 diff --git a/board/eukrea/cpuat91/config.mk b/board/eukrea/cpuat91/config.mk deleted file mode 100644 index 463f46b..0000000 --- a/board/eukrea/cpuat91/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x21F00000 diff --git a/board/evb4510/config.mk b/board/evb4510/config.mk deleted file mode 100644 index 140c989..0000000 --- a/board/evb4510/config.mk +++ /dev/null @@ -1,27 +0,0 @@ -# -# Copyright (c) 2004 Cucy Systems (http://www.cucy.com) -# Curt Brune -# -# (C) Copyright 2000-2004 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0x007d0000 diff --git a/board/faraday/a320evb/config.mk b/board/faraday/a320evb/config.mk deleted file mode 100644 index b751d0d..0000000 --- a/board/faraday/a320evb/config.mk +++ /dev/null @@ -1,35 +0,0 @@ -# -# (C) Copyright 2009 Faraday Technology -# Po-Yu Chuang -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -# Faraday A320 board with FA526/FA626TE/ARM926EJ-S cpus -# -# see http://www.faraday-tech.com/ for more information - -# A320 has 1 bank of 64 MB DRAM -# -# 1000'0000 to 1400'0000 -# -# Linux-Kernel is expected to be at 1000'8000, entry 1000'8000 -# -# we load ourself to 13f8'0000 -# -# download area is 1200'0000 - -CONFIG_SYS_TEXT_BASE = 0x13f80000 diff --git a/board/freescale/m5208evbe/config.mk b/board/freescale/m5208evbe/config.mk deleted file mode 100644 index 21dece4..0000000 --- a/board/freescale/m5208evbe/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0 diff --git a/board/freescale/m5249evb/config.mk b/board/freescale/m5249evb/config.mk deleted file mode 100644 index 5b8c608..0000000 --- a/board/freescale/m5249evb/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0xffe00000 diff --git a/board/freescale/m5253demo/config.mk b/board/freescale/m5253demo/config.mk deleted file mode 100644 index 93fce15..0000000 --- a/board/freescale/m5253demo/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0xFF800000 diff --git a/board/freescale/m5253evbe/config.mk b/board/freescale/m5253evbe/config.mk deleted file mode 100644 index 5b8c608..0000000 --- a/board/freescale/m5253evbe/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0xffe00000 diff --git a/board/freescale/m5271evb/config.mk b/board/freescale/m5271evb/config.mk deleted file mode 100644 index cf6d375..0000000 --- a/board/freescale/m5271evb/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2006 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0xffe00000 diff --git a/board/freescale/m5272c3/config.mk b/board/freescale/m5272c3/config.mk deleted file mode 100644 index 5b8c608..0000000 --- a/board/freescale/m5272c3/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0xffe00000 diff --git a/board/freescale/m5275evb/config.mk b/board/freescale/m5275evb/config.mk deleted file mode 100644 index 5b8c608..0000000 --- a/board/freescale/m5275evb/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0xffe00000 diff --git a/board/freescale/m5282evb/config.mk b/board/freescale/m5282evb/config.mk deleted file mode 100644 index 882f93a..0000000 --- a/board/freescale/m5282evb/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0xFFE00000 diff --git a/board/freescale/m53017evb/config.mk b/board/freescale/m53017evb/config.mk deleted file mode 100644 index 21dece4..0000000 --- a/board/freescale/m53017evb/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0 diff --git a/board/freescale/m5329evb/config.mk b/board/freescale/m5329evb/config.mk deleted file mode 100644 index 21dece4..0000000 --- a/board/freescale/m5329evb/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0 diff --git a/board/freescale/m5373evb/config.mk b/board/freescale/m5373evb/config.mk deleted file mode 100644 index 21dece4..0000000 --- a/board/freescale/m5373evb/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0 diff --git a/board/freescale/m547xevb/config.mk b/board/freescale/m547xevb/config.mk deleted file mode 100644 index 93fce15..0000000 --- a/board/freescale/m547xevb/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0xFF800000 diff --git a/board/freescale/m548xevb/config.mk b/board/freescale/m548xevb/config.mk deleted file mode 100644 index 93fce15..0000000 --- a/board/freescale/m548xevb/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0xFF800000 diff --git a/board/gcplus/config.mk b/board/gcplus/config.mk deleted file mode 100644 index a9bd3ff..0000000 --- a/board/gcplus/config.mk +++ /dev/null @@ -1,13 +0,0 @@ -# -# ADS GCPlus board with SA1110 cpu -# -# The ADS GCPlus has 2 banks of 16 MiB SDRAM -# -# We use the ADS GCPlus Linux boot ROM to load U-Boot into SDRAM -# at c020'0000 and then move ourself to c8f0'0000. Basically, just -# install the U-Boot binary as you would the Linux zImage and then -# reap the benfits of more convenient Linux development cycles, i.e. -# bootp;tftp;bootm, repeat, etc.,. -# - -CONFIG_SYS_TEXT_BASE = 0xc8f00000 diff --git a/board/idmr/config.mk b/board/idmr/config.mk deleted file mode 100644 index 95b0f9e..0000000 --- a/board/idmr/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2000-2006 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# Coldfire contribution by Bernhard Kuhn -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0xff800000 diff --git a/board/impa7/config.mk b/board/impa7/config.mk deleted file mode 100644 index 15e7f04..0000000 --- a/board/impa7/config.mk +++ /dev/null @@ -1,28 +0,0 @@ -# -# (C) Copyright 2000 -# Sysgo Real-Time Solutions, GmbH -# Marius Groeger -# -# (C) Copyright 2000 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0xc1780000 diff --git a/board/imx31_phycore/config.mk b/board/imx31_phycore/config.mk deleted file mode 100644 index 0131edf..0000000 --- a/board/imx31_phycore/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x87f00000 diff --git a/board/incaip/config.mk b/board/incaip/config.mk deleted file mode 100644 index 7ef5a66..0000000 --- a/board/incaip/config.mk +++ /dev/null @@ -1,32 +0,0 @@ -# -# (C) Copyright 2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -# -# INCA-IP board with MIPS 4Kc CPU core -# - -# ROM version -CONFIG_SYS_TEXT_BASE = 0xB0000000 - -# RAM version -#CONFIG_SYS_TEXT_BASE = 0x80100000 diff --git a/board/isee/igep0020/config.mk b/board/isee/igep0020/config.mk deleted file mode 100644 index 7964621..0000000 --- a/board/isee/igep0020/config.mk +++ /dev/null @@ -1,33 +0,0 @@ -# -# (C) Copyright 2009 -# ISEE 2007 SL, -# -# IGEP0020 uses OMAP3 (ARM-CortexA8) cpu -# see http://www.ti.com/ for more information on Texas Instruments -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# -# Physical Address: -# 8000'0000 (bank0) -# A000/0000 (bank1) -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) - -# For use with external or internal boots. -CONFIG_SYS_TEXT_BASE = 0x80008000 diff --git a/board/isee/igep0030/config.mk b/board/isee/igep0030/config.mk deleted file mode 100644 index de6384f..0000000 --- a/board/isee/igep0030/config.mk +++ /dev/null @@ -1,34 +0,0 @@ -# -# (C) Copyright 2009 -# ISEE 2007 SL, -# -# IGEP0030 uses OMAP3 (ARM-CortexA8) cpu -# see http://www.ti.com/ for more information on Texas Instruments -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# -# Physical Address: -# 8000'0000 (bank0) -# A000/0000 (bank1) -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) - -# For use with external or internal boots. -CONFIG_SYS_TEXT_BASE = 0x80008000 - diff --git a/board/ixdp425/config.mk b/board/ixdp425/config.mk deleted file mode 100644 index 509c894..0000000 --- a/board/ixdp425/config.mk +++ /dev/null @@ -1,2 +0,0 @@ -# -CONFIG_SYS_TEXT_BASE = 0x00f80000 diff --git a/board/kb9202/config.mk b/board/kb9202/config.mk deleted file mode 100644 index 2077692..0000000 --- a/board/kb9202/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x21f00000 diff --git a/board/lart/config.mk b/board/lart/config.mk deleted file mode 100644 index b6b5e4d..0000000 --- a/board/lart/config.mk +++ /dev/null @@ -1,23 +0,0 @@ -# -# LART board with SA1100 cpu -# -# see http://www.lart.tudelft.nl/ for more information on LART -# - -# -# LART has 4 banks of 8 MB DRAM -# -# c000'0000 -# c100'0000 -# c800'0000 -# c900'0000 -# -# Linux-Kernel is expected to be at c000'8000, entry c000'8000 -# -# we load ourself to c178'0000, the upper 1 MB of second bank -# -# download areas is c800'0000 -# - - -CONFIG_SYS_TEXT_BASE = 0xc1780000 diff --git a/board/logicpd/am3517evm/config.mk b/board/logicpd/am3517evm/config.mk deleted file mode 100644 index 102d32b..0000000 --- a/board/logicpd/am3517evm/config.mk +++ /dev/null @@ -1,30 +0,0 @@ -# -# Author: Vaibhav Hiremath -# -# Based on ti/evm/config.mk -# -# Copyright (C) 2010 -# Texas Instruments Incorporated - http://www.ti.com/ -# -# This program is free software; you can redistribute it and/or modify -# it under the terms of the GNU General Public License as published by -# the Free Software Foundation; either version 2 of the License, or -# (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. -# -# Physical Address: -# 8000'0000 (bank0) -# A000/0000 (bank1) -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) - -# For use with external or internal boots. -CONFIG_SYS_TEXT_BASE = 0x80e80000 diff --git a/board/logicpd/imx27lite/config.mk b/board/logicpd/imx27lite/config.mk deleted file mode 100644 index 018d920..0000000 --- a/board/logicpd/imx27lite/config.mk +++ /dev/null @@ -1,5 +0,0 @@ -# with relocation CONFIG_SYS_TEXT_BASE can be anything, and making it 0 -# makes relative and absolute relocation fixups interchangeable. -#CONFIG_SYS_TEXT_BASE = 0 - -CONFIG_SYS_TEXT_BASE = 0xc0000000 diff --git a/board/logicpd/imx31_litekit/config.mk b/board/logicpd/imx31_litekit/config.mk deleted file mode 100644 index a7887ba..0000000 --- a/board/logicpd/imx31_litekit/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0xa0000000 diff --git a/board/logicpd/zoom1/config.mk b/board/logicpd/zoom1/config.mk deleted file mode 100644 index 39a94dc..0000000 --- a/board/logicpd/zoom1/config.mk +++ /dev/null @@ -1,33 +0,0 @@ -# -# (C) Copyright 2006-2008 -# Texas Instruments, -# -# Zoom MDK uses OMAP3 (ARM-CortexA8) cpu -# see http://www.ti.com/ for more information on Texas Instruments -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# -# Physical Address: -# 8000'0000 (bank0) -# A000/0000 (bank1) -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) - -# For use with external or internal boots. -CONFIG_SYS_TEXT_BASE = 0x80e80000 diff --git a/board/logicpd/zoom2/config.mk b/board/logicpd/zoom2/config.mk deleted file mode 100644 index 8a8adc7..0000000 --- a/board/logicpd/zoom2/config.mk +++ /dev/null @@ -1,33 +0,0 @@ -# -# (C) Copyright 2009 -# Texas Instruments, -# -# Zoom II uses OMAP3 (ARM-CortexA8) CPU -# see http://www.ti.com/ for more information on Texas Instruments -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# -# Physical Address: -# 0x80000000 (bank0) -# 0xA0000000 (bank1) -# Linux-Kernel is expected to be at 0x80008000, entry 0x80008000 -# (mem base + reserved) - -# For use with external or internal boots. -CONFIG_SYS_TEXT_BASE = 0x80e80000 diff --git a/board/lpc2292sodimm/config.mk b/board/lpc2292sodimm/config.mk deleted file mode 100644 index 4891792..0000000 --- a/board/lpc2292sodimm/config.mk +++ /dev/null @@ -1,30 +0,0 @@ -# -# (C) Copyright 2000 -# Sysgo Real-Time Solutions, GmbH -# Marius Groeger -# -# (C) Copyright 2000 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -#address where u-boot will be relocated -#CONFIG_SYS_TEXT_BASE = 0x0 -CONFIG_SYS_TEXT_BASE = 0x81500000 diff --git a/board/lpd7a40x/config.mk b/board/lpd7a40x/config.mk deleted file mode 100644 index 003e707..0000000 --- a/board/lpd7a40x/config.mk +++ /dev/null @@ -1,38 +0,0 @@ -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -# Logic ZOOM LH7A400 SDK board w/Logic LH7A400-10 card engine -# w/Sharp LH7A400 SoC (ARM920T) cpu -# - -# -# 32 or 64 MB SDRAM on SDCSC0 @ 0xc0000000 -# -# Linux-Kernel is @ 0xC0008000, entry 0xc0008000 -# params @ 0xc0000100 -# optionally with a ramdisk at 0xc0300000 -# -# we load ourself to 0xc1fc0000 (32M - 256K) -# -# download area is 0xc0f00000 -# - -CONFIG_SYS_TEXT_BASE = 0xc1fc0000 -#CONFIG_SYS_TEXT_BASE = 0x00000000 diff --git a/board/m501sk/config.mk b/board/m501sk/config.mk deleted file mode 100644 index 2077692..0000000 --- a/board/m501sk/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x21f00000 diff --git a/board/modnet50/config.mk b/board/modnet50/config.mk deleted file mode 100644 index 4e4d305..0000000 --- a/board/modnet50/config.mk +++ /dev/null @@ -1,29 +0,0 @@ -# -# (C) Copyright 2000 -# Sysgo Real-Time Solutions, GmbH -# Marius Groeger -# -# (C) Copyright 2000-2004 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -CONFIG_SYS_TEXT_BASE = 0x00f00000 -#CROSS_COMPILE = arm-elf- diff --git a/board/mp2usb/config.mk b/board/mp2usb/config.mk deleted file mode 100644 index 948e4ff..0000000 --- a/board/mp2usb/config.mk +++ /dev/null @@ -1,3 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x27F00000 -## For testing: load at 0x20100000 and "go" at 0x201000A4 -#CONFIG_SYS_TEXT_BASE = 0x20100000 diff --git a/board/mpl/vcma9/config.mk b/board/mpl/vcma9/config.mk deleted file mode 100644 index e345913..0000000 --- a/board/mpl/vcma9/config.mk +++ /dev/null @@ -1,24 +0,0 @@ -# -# (C) Copyright 2002, 2003 -# David Mueller, ELSOFT AG, -# -# MPL VCMA9 board with S3C2410X (ARM920T) cpu -# -# see http://www.mpl.ch/ for more information about the MPL VCMA9 -# - -# -# MPL VCMA9 has 1 bank of minimal 16 MB DRAM -# from 0x30000000 -# -# Linux-Kernel is expected to be at 3000'8000, entry 3000'8000 -# optionally with a ramdisk at 3040'0000 -# -# we load ourself to 33F8'0000 -# -# download area is 3080'0000 -# - - -#CONFIG_SYS_TEXT_BASE = 0x30F80000 -CONFIG_SYS_TEXT_BASE = 0x33F80000 diff --git a/board/mpr2/config.mk b/board/mpr2/config.mk deleted file mode 100644 index 4a4bca1..0000000 --- a/board/mpr2/config.mk +++ /dev/null @@ -1,37 +0,0 @@ -# -# Copyright (C) 2007 -# Yoshihiro Shimoda -# -# Copyright (C) 2007 -# Nobuhiro Iwamatsu -# -# Copyright (C) 2007 -# Kenati Technologies, Inc. -# -# Copyright (C) 2008 -# Mark Jonas -# -# board/mpr2/config.mk -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA - -# -# CONFIG_SYS_TEXT_BASE refers to image _after_ relocation. -# -# NOTE: Must match value used in u-boot.lds (in this directory). -# - -CONFIG_SYS_TEXT_BASE = 0x8FFC0000 diff --git a/board/ms7720se/config.mk b/board/ms7720se/config.mk deleted file mode 100644 index d2944a6..0000000 --- a/board/ms7720se/config.mk +++ /dev/null @@ -1,34 +0,0 @@ -# -# Copyright (C) 2007 -# Yoshihiro Shimoda -# -# Copyright (C) 2007 -# Nobuhiro Iwamatsu -# -# Copyright (C) 2007 -# Kenati Technologies, Inc. -# -# board/ms7720se/config.mk -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA - -# -# CONFIG_SYS_TEXT_BASE refers to image _after_ relocation. -# -# NOTE: Must match value used in u-boot.lds (in this directory). -# - -CONFIG_SYS_TEXT_BASE = 0x8FFC0000 diff --git a/board/ms7722se/config.mk b/board/ms7722se/config.mk deleted file mode 100644 index 3f1606b..0000000 --- a/board/ms7722se/config.mk +++ /dev/null @@ -1,31 +0,0 @@ -# -# Copyright (C) 2007 -# Nobuhiro Iwamatsu -# -# Copyright (C) 2007 -# Kenati Technologies, Inc. -# -# board/ms7722se/config.mk -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA - -# -# CONFIG_SYS_TEXT_BASE refers to image _after_ relocation. -# -# NOTE: Must match value used in u-boot.lds (in this directory). -# - -CONFIG_SYS_TEXT_BASE = 0x8FFC0000 diff --git a/board/ms7750se/config.mk b/board/ms7750se/config.mk deleted file mode 100644 index ba4d155..0000000 --- a/board/ms7750se/config.mk +++ /dev/null @@ -1,23 +0,0 @@ -# -# Copyright (C) 2007 -# Nobuhiro Iwamatsu -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# -# -# NOTE: Must match value used in u-boot.lds (in this directory). -# -CONFIG_SYS_TEXT_BASE = 0x8FFC0000 diff --git a/board/mx1ads/config.mk b/board/mx1ads/config.mk deleted file mode 100644 index 2bc5b15..0000000 --- a/board/mx1ads/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# board/mx1ads/config.mk -# -# (c) Copyright 2004 -# Techware Information Technology, Inc. -# http://www.techware.com.tw/ -# -# Ming-Len Wu -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA - -CONFIG_SYS_TEXT_BASE = 0x08400000 diff --git a/board/mx1fs2/config.mk b/board/mx1fs2/config.mk deleted file mode 100644 index eb4d046..0000000 --- a/board/mx1fs2/config.mk +++ /dev/null @@ -1,10 +0,0 @@ -# -# This config file is used for compilation of IMX sources -# -# You might change location of U-Boot in memory by setting right CONFIG_SYS_TEXT_BASE. -# This allows for example having one copy located at the end of ram and stored -# in flash device and later on while developing use other location to test -# the code in RAM device only. -# - -CONFIG_SYS_TEXT_BASE = 0x08f00000 diff --git a/board/netstar/config.mk b/board/netstar/config.mk deleted file mode 100644 index 9e1446e..0000000 --- a/board/netstar/config.mk +++ /dev/null @@ -1,11 +0,0 @@ -# -# Linux-Kernel is expected to be at 1000'8000, -# entry 1000'8000 (mem base + reserved) -# -# We load ourself to internal RAM at 2001'2000 -# Check map file when changing CONFIG_SYS_TEXT_BASE. -# Everything has fit into 192kB internal SRAM! -# - -# XXX CONFIG_SYS_TEXT_BASE = 0x20012000 -CONFIG_SYS_TEXT_BASE = 0x13FC0000 diff --git a/board/ns9750dev/config.mk b/board/ns9750dev/config.mk deleted file mode 100644 index e5d8702..0000000 --- a/board/ns9750dev/config.mk +++ /dev/null @@ -1,16 +0,0 @@ -####################################################################### -# -# Copyright (C) 2004 by FS Forth-Systeme GmbH. -# Markus Pietrek -# -# @TODO -# Linux-Kernel is expected to be at 0000'8000, entry 0000'8000 -# optionally with a ramdisk at 0080'0000 -# -# we load ourself to 0078'0000 -# -# download area is 0060'0000 -# - - -CONFIG_SYS_TEXT_BASE = 0x00780000 diff --git a/board/overo/config.mk b/board/overo/config.mk deleted file mode 100644 index e7c471c..0000000 --- a/board/overo/config.mk +++ /dev/null @@ -1,28 +0,0 @@ -# -# Overo uses OMAP3 (ARM-CortexA8) cpu -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# -# Physical Address: -# 8000'0000 (bank0) -# A000/0000 (bank1) -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) - -CONFIG_SYS_TEXT_BASE = 0x80008000 diff --git a/board/pb1x00/config.mk b/board/pb1x00/config.mk deleted file mode 100644 index 6f3dc08..0000000 --- a/board/pb1x00/config.mk +++ /dev/null @@ -1,32 +0,0 @@ -# -# (C) Copyright 2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -# -# AMD development board AMD Alchemy Pb1x00, MIPS32 core -# - -# ROM version -#CONFIG_SYS_TEXT_BASE = 0xbfc00000 - -# SDRAM version -CONFIG_SYS_TEXT_BASE = 0x83800000 diff --git a/board/prodrive/pdnb3/config.mk b/board/prodrive/pdnb3/config.mk deleted file mode 100644 index 817541f..0000000 --- a/board/prodrive/pdnb3/config.mk +++ /dev/null @@ -1,2 +0,0 @@ -# -CONFIG_SYS_TEXT_BASE = 0x01f00000 diff --git a/board/purple/config.mk b/board/purple/config.mk deleted file mode 100644 index 404c3fb..0000000 --- a/board/purple/config.mk +++ /dev/null @@ -1,32 +0,0 @@ -# -# (C) Copyright 2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -# -# Purple board with MIPS 5Kc CPU core -# - -# ROM version -CONFIG_SYS_TEXT_BASE = 0xB0000000 - -# RAM version -#CONFIG_SYS_TEXT_BASE = 0x80100000 diff --git a/board/qemu-mips/config.mk b/board/qemu-mips/config.mk deleted file mode 100644 index 27cd34a..0000000 --- a/board/qemu-mips/config.mk +++ /dev/null @@ -1,10 +0,0 @@ -# -# Qemu -M mips system emulator -# See http://fabrice.bellard.free.fr/qemu -# - -# ROM version -CONFIG_SYS_TEXT_BASE = 0xbfc00000 - -# RAM version -#CONFIG_SYS_TEXT_BASE = 0x80001000 diff --git a/board/renesas/MigoR/config.mk b/board/renesas/MigoR/config.mk deleted file mode 100644 index ffe954c..0000000 --- a/board/renesas/MigoR/config.mk +++ /dev/null @@ -1,31 +0,0 @@ -# -# Copyright (C) 2007 -# Nobuhiro Iwamatsu -# -# Copyright (C) 2007 -# Kenati Technologies, Inc. -# -# board/MigoR/config.mk -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA - -# -# CONFIG_SYS_TEXT_BASE refers to image _after_ relocation. -# -# NOTE: Must match value used in u-boot.lds (in this directory). -# - -CONFIG_SYS_TEXT_BASE = 0x8FFC0000 diff --git a/board/renesas/ap325rxa/config.mk b/board/renesas/ap325rxa/config.mk deleted file mode 100644 index f572afd..0000000 --- a/board/renesas/ap325rxa/config.mk +++ /dev/null @@ -1,26 +0,0 @@ -# -# Copyright (C) 2007 -# Nobuhiro Iwamatsu -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA - -# -# CONFIG_SYS_TEXT_BASE refers to image _after_ relocation. -# -# NOTE: Must match value used in u-boot.lds (in this directory). -# - -CONFIG_SYS_TEXT_BASE = 0x8FFC0000 diff --git a/board/renesas/r2dplus/config.mk b/board/renesas/r2dplus/config.mk deleted file mode 100644 index 55163b9..0000000 --- a/board/renesas/r2dplus/config.mk +++ /dev/null @@ -1,23 +0,0 @@ -# -# Copyright (C) 2007,2008 -# Nobuhiro Iwamatsu -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# -# -# NOTE: Must match value used in u-boot.lds (in this directory). -# -CONFIG_SYS_TEXT_BASE = 0x0FFC0000 diff --git a/board/renesas/r7780mp/config.mk b/board/renesas/r7780mp/config.mk deleted file mode 100644 index 70ee3fd..0000000 --- a/board/renesas/r7780mp/config.mk +++ /dev/null @@ -1,27 +0,0 @@ -# -# Copyright (C) 2007,2008 Nobuhiro Iwamatsu -# -# board/r77870mp/config.mk -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA - -# -# CONFIG_SYS_TEXT_BASE refers to image _after_ relocation. -# -# NOTE: Must match value used in u-boot.lds (in this directory). -# - -CONFIG_SYS_TEXT_BASE = 0x0FFC0000 diff --git a/board/renesas/rsk7203/config.mk b/board/renesas/rsk7203/config.mk deleted file mode 100644 index 5b533f6..0000000 --- a/board/renesas/rsk7203/config.mk +++ /dev/null @@ -1,28 +0,0 @@ -# -# Copyright (C) 2007,2008 Nobuhiro Iwamatsu -# Copyright (C) 2008 Renesas Solutions Corp. -# -# u-boot/board/rsk7203/config.mk -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA - -# -# CONFIG_SYS_TEXT_BASE refers to image _after_ relocation. -# -# NOTE: Must match value used in u-boot.lds (in this directory). -# - -CONFIG_SYS_TEXT_BASE = 0x0C7C0000 diff --git a/board/renesas/sh7763rdp/config.mk b/board/renesas/sh7763rdp/config.mk deleted file mode 100644 index 54c1a5b..0000000 --- a/board/renesas/sh7763rdp/config.mk +++ /dev/null @@ -1,11 +0,0 @@ -# -# board/sh7763rdp/config.mk -# -# CONFIG_SYS_TEXT_BASE refers to image _after_ relocation. -# -# NOTE: Must match value used in u-boot.lds (in this directory). -# - -CONFIG_SYS_TEXT_BASE = 0x8FFC0000 - -# PLATFORM_CPPFLAGS += -DCONFIG_MULTIBOOT diff --git a/board/ronetix/pm9263/config.mk b/board/ronetix/pm9263/config.mk deleted file mode 100644 index e554a45..0000000 --- a/board/ronetix/pm9263/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x23f00000 diff --git a/board/ronetix/pm9g45/config.mk b/board/ronetix/pm9g45/config.mk deleted file mode 100644 index 9d3c5ae..0000000 --- a/board/ronetix/pm9g45/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x73f00000 diff --git a/board/samsung/goni/config.mk b/board/samsung/goni/config.mk deleted file mode 100644 index e4581ca..0000000 --- a/board/samsung/goni/config.mk +++ /dev/null @@ -1,34 +0,0 @@ -# -# Copyright (C) 2010 Samsung Electronics -# Kyungmin Park -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -# On S5PC100 we use the 128 MiB OneDRAM bank at -# -# 0x30000000 to 0x35000000 (80MiB) -# 0x38000000 to 0x40000000 (128MiB) -# -# On S5PC110 we use the 128 MiB OneDRAM bank at -# -# 0x30000000 to 0x35000000 (80MiB) -# 0x40000000 to 0x50000000 (256MiB) -# -CONFIG_SYS_TEXT_BASE = 0x34800000 diff --git a/board/samsung/smdk2400/config.mk b/board/samsung/smdk2400/config.mk deleted file mode 100644 index 4c27dc3..0000000 --- a/board/samsung/smdk2400/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2002 -# Gary Jennejohn, DENX Software Engineering, -# -# SAMSUNG board with S3C2400X (ARM920T) CPU -# -# see http://www.samsung.com/ for more information on SAMSUNG -# - -# -# SAMSUNG has 1 bank of 32 MB DRAM -# -# 0C00'0000 to 0E00'0000 -# -# Linux-Kernel is expected to be at 0cf0'0000, entry 0cf0'0000 -# optionally with a ramdisk at 0c80'0000 -# -# we load ourself to 0CF80000 (must be high enough not to be -# overwritten by the uncompessing Linux kernel) -# -# download area is 0C80'0000 -# - - -CONFIG_SYS_TEXT_BASE = 0x0CF80000 diff --git a/board/samsung/smdk2410/config.mk b/board/samsung/smdk2410/config.mk deleted file mode 100644 index c8d1b1f..0000000 --- a/board/samsung/smdk2410/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2002 -# Gary Jennejohn, DENX Software Engineering, -# David Mueller, ELSOFT AG, -# -# SAMSUNG SMDK2410 board with S3C2410X (ARM920T) cpu -# -# see http://www.samsung.com/ for more information on SAMSUNG -# - -# -# SMDK2410 has 1 bank of 64 MB DRAM -# -# 3000'0000 to 3400'0000 -# -# Linux-Kernel is expected to be at 3000'8000, entry 3000'8000 -# optionally with a ramdisk at 3080'0000 -# -# we load ourself to 33F8'0000 -# -# download area is 3300'0000 -# - - -CONFIG_SYS_TEXT_BASE = 0x33F80000 diff --git a/board/samsung/smdkc100/config.mk b/board/samsung/smdkc100/config.mk deleted file mode 100644 index 3a08bb1..0000000 --- a/board/samsung/smdkc100/config.mk +++ /dev/null @@ -1,16 +0,0 @@ -# -# Copyright (C) 2008 # Samsung Elecgtronics -# Kyungmin Park -# - -# On S5PC100 we use the 128 MiB OneDRAM bank at -# -# 0x30000000 to 0x35000000 (80MiB) -# 0x38000000 to 0x40000000 (128MiB) -# -# On S5PC110 we use the 128 MiB OneDRAM bank at -# -# 0x30000000 to 0x35000000 (80MiB) -# 0x40000000 to 0x48000000 (128MiB) -# -CONFIG_SYS_TEXT_BASE = 0x34800000 diff --git a/board/sbc2410x/config.mk b/board/sbc2410x/config.mk deleted file mode 100644 index bc01a2d..0000000 --- a/board/sbc2410x/config.mk +++ /dev/null @@ -1,23 +0,0 @@ -# -# (C) Copyright 2002 -# Gary Jennejohn, DENX Software Engineering, -# David Mueller, ELSOFT AG, -# -# SAMSUNG SMDK2410 board with S3C2410X (ARM920T) cpu -# -# see http://www.samsung.com/ for more information on SAMSUNG -# - -# -# SMDK2410 has 1 bank of 64 MB DRAM -# -# 3000'0000 to 3400'0000 -# -# Linux-Kernel is expected to be at 3000'8000, entry 3000'8000 -# optionally with a ramdisk at 3080'0000 -# -# we load ourself to 33F8'0000 -# -# download area is 3300'0000 - -CONFIG_SYS_TEXT_BASE = 0x33F80000 diff --git a/board/scb9328/config.mk b/board/scb9328/config.mk deleted file mode 100644 index 7c5e067..0000000 --- a/board/scb9328/config.mk +++ /dev/null @@ -1,10 +0,0 @@ -# -# This config file is used for compilation of scb93328 sources -# -# You might change location of U-Boot in memory by setting right CONFIG_SYS_TEXT_BASE. -# This allows for example having one copy located at the end of ram and stored -# in flash device and later on while developing use other location to test -# the code in RAM device only. -# - -CONFIG_SYS_TEXT_BASE = 0x08f00000 diff --git a/board/shannon/config.mk b/board/shannon/config.mk deleted file mode 100644 index 6afa2d3..0000000 --- a/board/shannon/config.mk +++ /dev/null @@ -1,23 +0,0 @@ -# -# LART board with SA1100 cpu -# -# see http://www.lart.tudelft.nl/ for more information on LART -# - -# -# Tuxscreen has 4 banks of 4 MB DRAM each -# -# c000'0000 -# c800'0000 -# d000'0000 -# d800'0000 -# -# Linux-Kernel is expected to be at c000'8000, entry c000'8000 -# -# we load ourself to d838'0000, the upper 1 MB of the last (4th) bank -# -# download areas is c800'0000 -# - - -CONFIG_SYS_TEXT_BASE = 0xd8380000 diff --git a/board/siemens/SMN42/config.mk b/board/siemens/SMN42/config.mk deleted file mode 100644 index 4891792..0000000 --- a/board/siemens/SMN42/config.mk +++ /dev/null @@ -1,30 +0,0 @@ -# -# (C) Copyright 2000 -# Sysgo Real-Time Solutions, GmbH -# Marius Groeger -# -# (C) Copyright 2000 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -#address where u-boot will be relocated -#CONFIG_SYS_TEXT_BASE = 0x0 -CONFIG_SYS_TEXT_BASE = 0x81500000 diff --git a/board/st/nhk8815/config.mk b/board/st/nhk8815/config.mk deleted file mode 100644 index 1789717..0000000 --- a/board/st/nhk8815/config.mk +++ /dev/null @@ -1,26 +0,0 @@ -# (C) Copyright 2007 -# STMicroelectronics, -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# -# -# image should be loaded at 0x01000000 -# - -CONFIG_SYS_TEXT_BASE = 0x03F80000 diff --git a/board/sx1/config.mk b/board/sx1/config.mk deleted file mode 100644 index 441bea2..0000000 --- a/board/sx1/config.mk +++ /dev/null @@ -1,19 +0,0 @@ -# -# (C) Copyright 2004 -# Wolfgang Denk, DENX Software Engineering, -# -# SX1 board with OMAP1510 (ARM925T) cpu -# see http://www.ti.com/ for more information on Texas Insturments -# -# SX1 has 1 bank of 256 MB SDRAM -# Physical Address: -# 1000'0000 to 2000'0000 -# -# -# Linux-Kernel is expected to be at 1000'8000, entry 1000'8000 (mem base + reserved) -# -# we load ourself to 1108'0000 -# -# - -CONFIG_SYS_TEXT_BASE = 0x11080000 diff --git a/board/syteco/jadecpu/config.mk b/board/syteco/jadecpu/config.mk deleted file mode 100644 index 617603d..0000000 --- a/board/syteco/jadecpu/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x10000000 diff --git a/board/tb0229/config.mk b/board/tb0229/config.mk deleted file mode 100644 index 017511d..0000000 --- a/board/tb0229/config.mk +++ /dev/null @@ -1,30 +0,0 @@ -# -# (C) Masami Komiya 2004 -# -# (C) Copyright 2003 -# Wolfgang Denk, DENX Software Engineering, wd@denx.de. -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# - -# ROM version -CONFIG_SYS_TEXT_BASE = 0xBFC00000 - -# RAM version -#CONFIG_SYS_TEXT_BASE = 0x80400000 diff --git a/board/ti/beagle/config.mk b/board/ti/beagle/config.mk deleted file mode 100644 index cf055db..0000000 --- a/board/ti/beagle/config.mk +++ /dev/null @@ -1,33 +0,0 @@ -# -# (C) Copyright 2006 -# Texas Instruments, -# -# Beagle Board uses OMAP3 (ARM-CortexA8) cpu -# see http://www.ti.com/ for more information on Texas Instruments -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# -# Physical Address: -# 8000'0000 (bank0) -# A000/0000 (bank1) -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) - -# For use with external or internal boots. -CONFIG_SYS_TEXT_BASE = 0x80008000 diff --git a/board/ti/evm/config.mk b/board/ti/evm/config.mk deleted file mode 100644 index d173eef..0000000 --- a/board/ti/evm/config.mk +++ /dev/null @@ -1,33 +0,0 @@ -# -# (C) Copyright 2006 - 2008 -# Texas Instruments, -# -# EVM uses OMAP3 (ARM-CortexA8) cpu -# see http://www.ti.com/ for more information on Texas Instruments -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# -# Physical Address: -# 8000'0000 (bank0) -# A000/0000 (bank1) -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) - -# For use with external or internal boots. -CONFIG_SYS_TEXT_BASE = 0x80008000 diff --git a/board/ti/omap1510inn/config.mk b/board/ti/omap1510inn/config.mk deleted file mode 100644 index 67fe0bd..0000000 --- a/board/ti/omap1510inn/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2002 -# Gary Jennejohn, DENX Software Engineering, -# David Mueller, ELSOFT AG, -# -# (C) Copyright 2003 -# Texas Instruments, -# Kshitij Gupta -# -# TI Innovator board with OMAP1510 (ARM925T) cpu -# see http://www.ti.com/ for more information on Texas Insturments -# -# Innovator has 1 bank of 256 MB SDRAM -# Physical Address: -# 1000'0000 to 2000'0000 -# -# -# Linux-Kernel is expected to be at 1000'8000, entry 1000'8000 (mem base + reserved) -# -# we load ourself to 1108'0000 -# -# - - -CONFIG_SYS_TEXT_BASE = 0x11080000 diff --git a/board/ti/omap1610inn/config.mk b/board/ti/omap1610inn/config.mk deleted file mode 100644 index ee0aa0a..0000000 --- a/board/ti/omap1610inn/config.mk +++ /dev/null @@ -1,26 +0,0 @@ -# -# (C) Copyright 2002 -# Gary Jennejohn, DENX Software Engineering, -# David Mueller, ELSOFT AG, -# -# (C) Copyright 2003 -# Texas Instruments, -# Kshitij Gupta -# -# TI Innovator board with OMAP1610 (ARM925EJS) cpu -# see http://www.ti.com/ for more information on Texas Instruments -# -# Innovator has 1 bank of 256 MB SDRAM -# Physical Address: -# 1000'0000 to 2000'0000 -# -# -# Linux-Kernel is expected to be at 1000'8000, entry 1000'8000 -# (mem base + reserved) -# -# we load ourself to 1108'0000 -# -# - - -CONFIG_SYS_TEXT_BASE = 0x11080000 diff --git a/board/ti/omap2420h4/config.mk b/board/ti/omap2420h4/config.mk deleted file mode 100644 index ca5ebdf..0000000 --- a/board/ti/omap2420h4/config.mk +++ /dev/null @@ -1,28 +0,0 @@ -# -# (C) Copyright 2004 -# Texas Instruments, -# -# TI H4 board with OMAP2420 (ARM1136) cpu -# see http://www.ti.com/ for more information on Texas Instruments -# -# H4 has 1 bank of 32MB or 64MB mDDR-SDRAM on CS0 -# H4 has 1 bank of 32MB or 00MB mDDR-SDRAM on CS1 -# Physical Address: -# 8000'0000 (bank0) -# A000/0000 (bank1) ES2 will be configurable -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) - -# For use with external or internal boots. -CONFIG_SYS_TEXT_BASE = 0x80e80000 - -# Used with full SRAM boot. -# This is either with a GP system or a signed boot image. -# easiest, and safest way to go if you can. -#CONFIG_SYS_TEXT_BASE = 0x40270000 - - -# Handy to get symbols to debug ROM version. -#CONFIG_SYS_TEXT_BASE = 0x0 -#CONFIG_SYS_TEXT_BASE = 0x08000000 -#CONFIG_SYS_TEXT_BASE = 0x04000000 diff --git a/board/ti/omap5912osk/config.mk b/board/ti/omap5912osk/config.mk deleted file mode 100644 index 0ed7d8a..0000000 --- a/board/ti/omap5912osk/config.mk +++ /dev/null @@ -1,30 +0,0 @@ -# -# (C) Copyright 2002-2004 -# Gary Jennejohn, DENX Software Engineering, -# David Mueller, ELSOFT AG, -# -# (C) Copyright 2003 -# Texas Instruments, -# Kshitij Gupta -# -# (C) Copyright 2004 -# Texas Instruments, -# Rishi Bhattacharya -# -# TI OSK board with OMAP5912 (ARM925EJS) cpu -# see http://www.ti.com/ for more information on Texas Instruments -# -# OSK has 1 bank of 256 MB SDRAM -# Physical Address: -# 1000'0000 to 2000'0000 -# -# -# Linux-Kernel is expected to be at 1000'8000, entry 1000'8000 -# (mem base + reserved) -# -# we load ourself to 1108'0000 -# -# - - -CONFIG_SYS_TEXT_BASE = 0x11080000 diff --git a/board/ti/omap730p2/config.mk b/board/ti/omap730p2/config.mk deleted file mode 100644 index 8618820..0000000 --- a/board/ti/omap730p2/config.mk +++ /dev/null @@ -1,25 +0,0 @@ -# -# (C) Copyright 2002 -# Gary Jennejohn, DENX Software Engineering, -# David Mueller, ELSOFT AG, -# -# (C) Copyright 2003 -# Texas Instruments, -# Kshitij Gupta -# -# TI Perseus 2 board with OMAP720 (ARM925EJS) cpu -# see http://www.ti.com/ for more information on Texas Instruments -# -# Innovator has 1 bank of 256 MB SDRAM -# Physical Address: -# 1000'0000 to 2000'0000 -# -# -# Linux-Kernel is expected to be at 1000'8000, entry 1000'8000 -# (mem base + reserved) -# -# we load ourself to 1108'0000 -# -# - -CONFIG_SYS_TEXT_BASE = 0x11080000 diff --git a/board/ti/panda/config.mk b/board/ti/panda/config.mk deleted file mode 100644 index 33901a7..0000000 --- a/board/ti/panda/config.mk +++ /dev/null @@ -1,31 +0,0 @@ -# -# (C) Copyright 2006-2009 -# Texas Instruments Incorporated, -# -# OMAP 4430 SDP -# see http://www.ti.com/ for more information on Texas Instruments -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# -# SDRAM Address Space: -# 8000'0000 - 9fff'ffff (512 MB) -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) - -CONFIG_SYS_TEXT_BASE = 0x80e80000 diff --git a/board/ti/sdp3430/config.mk b/board/ti/sdp3430/config.mk deleted file mode 100644 index 2ca03dd..0000000 --- a/board/ti/sdp3430/config.mk +++ /dev/null @@ -1,33 +0,0 @@ -# -# (C) Copyright 2006-2009 -# Texas Instruments Incorporated, -# -# OMAP 3430 SDP uses OMAP3 (ARM-CortexA8) cpu -# see http://www.ti.com/ for more information on Texas Instruments -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# -# Physical Address: -# 8000'0000 (bank0) -# A000/0000 (bank1) -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) - -# For use with external or internal boots. -CONFIG_SYS_TEXT_BASE = 0x80e80000 diff --git a/board/ti/sdp4430/config.mk b/board/ti/sdp4430/config.mk deleted file mode 100644 index 33901a7..0000000 --- a/board/ti/sdp4430/config.mk +++ /dev/null @@ -1,31 +0,0 @@ -# -# (C) Copyright 2006-2009 -# Texas Instruments Incorporated, -# -# OMAP 4430 SDP -# see http://www.ti.com/ for more information on Texas Instruments -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or -# modify it under the terms of the GNU General Public License as -# published by the Free Software Foundation; either version 2 of -# the License, or (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place, Suite 330, Boston, -# MA 02111-1307 USA -# -# SDRAM Address Space: -# 8000'0000 - 9fff'ffff (512 MB) -# Linux-Kernel is expected to be at 8000'8000, entry 8000'8000 -# (mem base + reserved) - -CONFIG_SYS_TEXT_BASE = 0x80e80000 diff --git a/board/ti/tnetv107xevm/config.mk b/board/ti/tnetv107xevm/config.mk deleted file mode 100644 index 79b8304..0000000 --- a/board/ti/tnetv107xevm/config.mk +++ /dev/null @@ -1,20 +0,0 @@ -# -# See file CREDITS for list of people who contributed to this -# project. -# -# This program is free software; you can redistribute it and/or modify -# it under the terms of the GNU General Public License as published by -# the Free Software Foundation; either version 2 of the License, or -# (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. -# - -CONFIG_SYS_TEXT_BASE = 0x83FC0000 diff --git a/board/voiceblue/config.mk b/board/voiceblue/config.mk deleted file mode 100644 index 412b57d..0000000 --- a/board/voiceblue/config.mk +++ /dev/null @@ -1 +0,0 @@ -CONFIG_SYS_TEXT_BASE = 0x13FD0000 diff --git a/board/zylonite/config.mk b/board/zylonite/config.mk deleted file mode 100644 index 954f46e..0000000 --- a/board/zylonite/config.mk +++ /dev/null @@ -1,4 +0,0 @@ -#CONFIG_SYS_TEXT_BASE = 0x0 -#CONFIG_SYS_TEXT_BASE = 0xa1700000 -#CONFIG_SYS_TEXT_BASE = 0xa3080000 -CONFIG_SYS_TEXT_BASE = 0xa3008000 diff --git a/include/configs/M5208EVBE.h b/include/configs/M5208EVBE.h index a45cdc1..3cc7564 100644 --- a/include/configs/M5208EVBE.h +++ b/include/configs/M5208EVBE.h @@ -33,6 +33,8 @@ #define CONFIG_MCF520x /* define processor family */ #define CONFIG_M5208 /* define processor type */ +#define CONFIG_SYS_TEXT_BASE 0 + #define CONFIG_MCFUART #define CONFIG_SYS_UART_PORT (0) #define CONFIG_BAUDRATE 115200 diff --git a/include/configs/M5249EVB.h b/include/configs/M5249EVB.h index 104fcde..23a279e 100644 --- a/include/configs/M5249EVB.h +++ b/include/configs/M5249EVB.h @@ -37,6 +37,8 @@ #define CONFIG_MCF52x2 /* define processor family */ #define CONFIG_M5249 /* define processor type */ +#define CONFIG_SYS_TEXT_BASE 0xffe00000 + #define CONFIG_MCFTMR #define CONFIG_MCFUART diff --git a/include/configs/M5253DEMO.h b/include/configs/M5253DEMO.h index f2f3159..9aa7120 100644 --- a/include/configs/M5253DEMO.h +++ b/include/configs/M5253DEMO.h @@ -28,6 +28,8 @@ #define CONFIG_M5253 /* define processor type */ #define CONFIG_M5253DEMO /* define board type */ +#define CONFIG_SYS_TEXT_BASE 0xFF800000 + #define CONFIG_MCFTMR #define CONFIG_MCFUART diff --git a/include/configs/M5253EVBE.h b/include/configs/M5253EVBE.h index dd8a560..528eac5 100644 --- a/include/configs/M5253EVBE.h +++ b/include/configs/M5253EVBE.h @@ -28,6 +28,8 @@ #define CONFIG_M5253 /* define processor type */ #define CONFIG_M5253EVBE /* define board type */ +#define CONFIG_SYS_TEXT_BASE 0xffe00000 + #define CONFIG_MCFTMR #define CONFIG_MCFUART diff --git a/include/configs/M5271EVB.h b/include/configs/M5271EVB.h index 992d738..5ba4811 100644 --- a/include/configs/M5271EVB.h +++ b/include/configs/M5271EVB.h @@ -38,6 +38,8 @@ #define CONFIG_M5271 /* define processor type */ #define CONFIG_M5271EVB /* define board type */ +#define CONFIG_SYS_TEXT_BASE 0xffe00000 + #define CONFIG_MCFTMR #define CONFIG_MCFUART diff --git a/include/configs/M5272C3.h b/include/configs/M5272C3.h index b3c774f..76d418f 100644 --- a/include/configs/M5272C3.h +++ b/include/configs/M5272C3.h @@ -36,6 +36,8 @@ #define CONFIG_MCF52x2 /* define processor family */ #define CONFIG_M5272 /* define processor type */ +#define CONFIG_SYS_TEXT_BASE 0xffe00000 + #define CONFIG_MCFTMR #define CONFIG_MCFUART diff --git a/include/configs/M5275EVB.h b/include/configs/M5275EVB.h index 56a760f..78146f0 100644 --- a/include/configs/M5275EVB.h +++ b/include/configs/M5275EVB.h @@ -41,6 +41,8 @@ #define CONFIG_M5275 /* define processor type */ #define CONFIG_M5275EVB /* define board type */ +#define CONFIG_SYS_TEXT_BASE 0xffe00000 + #define CONFIG_MCFTMR #define CONFIG_MCFUART diff --git a/include/configs/M5282EVB.h b/include/configs/M5282EVB.h index 0c10480..37b66b5 100644 --- a/include/configs/M5282EVB.h +++ b/include/configs/M5282EVB.h @@ -36,6 +36,8 @@ #define CONFIG_MCF52x2 /* define processor family */ #define CONFIG_M5282 /* define processor type */ +#define CONFIG_SYS_TEXT_BASE 0xFFE00000 + #define CONFIG_MCFTMR #define CONFIG_MCFUART diff --git a/include/configs/M53017EVB.h b/include/configs/M53017EVB.h index d205e7c..ec5a614 100644 --- a/include/configs/M53017EVB.h +++ b/include/configs/M53017EVB.h @@ -37,6 +37,8 @@ #define CONFIG_MCF5301x /* define processor family */ #define CONFIG_M53015 /* define processor type */ +#define CONFIG_SYS_TEXT_BASE 0 + #define CONFIG_MCFUART #define CONFIG_SYS_UART_PORT (0) #define CONFIG_BAUDRATE 115200 diff --git a/include/configs/M5329EVB.h b/include/configs/M5329EVB.h index 7ae0fad..0a8ca72 100644 --- a/include/configs/M5329EVB.h +++ b/include/configs/M5329EVB.h @@ -37,6 +37,8 @@ #define CONFIG_MCF532x /* define processor family */ #define CONFIG_M5329 /* define processor type */ +#define CONFIG_SYS_TEXT_BASE 0 + #define CONFIG_MCFUART #define CONFIG_SYS_UART_PORT (0) #define CONFIG_BAUDRATE 115200 diff --git a/include/configs/M5373EVB.h b/include/configs/M5373EVB.h index 7086a1b..d41cb54 100644 --- a/include/configs/M5373EVB.h +++ b/include/configs/M5373EVB.h @@ -37,6 +37,8 @@ #define CONFIG_MCF532x /* define processor family */ #define CONFIG_M5373 /* define processor type */ +#define CONFIG_SYS_TEXT_BASE 0 + #define CONFIG_MCFUART #define CONFIG_SYS_UART_PORT (0) #define CONFIG_BAUDRATE 115200 diff --git a/include/configs/M5475EVB.h b/include/configs/M5475EVB.h index 5f6eb55..2f55c7d 100644 --- a/include/configs/M5475EVB.h +++ b/include/configs/M5475EVB.h @@ -38,6 +38,8 @@ #define CONFIG_M547x /* define processor type */ #define CONFIG_M5475 /* define processor type */ +#define CONFIG_SYS_TEXT_BASE 0xFF800000 + #define CONFIG_MCFUART #define CONFIG_SYS_UART_PORT (0) #define CONFIG_BAUDRATE 115200 diff --git a/include/configs/M5485EVB.h b/include/configs/M5485EVB.h index e178e35..ee7d147 100644 --- a/include/configs/M5485EVB.h +++ b/include/configs/M5485EVB.h @@ -38,6 +38,8 @@ #define CONFIG_M548x /* define processor type */ #define CONFIG_M5485 /* define processor type */ +#define CONFIG_SYS_TEXT_BASE 0xFF800000 + #define CONFIG_MCFUART #define CONFIG_SYS_UART_PORT (0) #define CONFIG_BAUDRATE 115200 diff --git a/include/configs/MigoR.h b/include/configs/MigoR.h index 8a6b8d0..6309291 100644 --- a/include/configs/MigoR.h +++ b/include/configs/MigoR.h @@ -31,6 +31,8 @@ #define CONFIG_CPU_SH7722 1 #define CONFIG_MIGO_R 1 +#define CONFIG_SYS_TEXT_BASE 0x8FFC0000 + #define CONFIG_CMD_LOADB #define CONFIG_CMD_LOADS #define CONFIG_CMD_FLASH diff --git a/include/configs/SMN42.h b/include/configs/SMN42.h index 4a8acab..899c858 100644 --- a/include/configs/SMN42.h +++ b/include/configs/SMN42.h @@ -41,6 +41,8 @@ #define CONFIG_LPC2292 #undef CONFIG_ARM7_REVD /* disable ARM720 REV.D Workarounds */ +#define CONFIG_SYS_TEXT_BASE 0x81500000 + #undef CONFIG_USE_IRQ /* don't need them anymore */ /* diff --git a/include/configs/SX1.h b/include/configs/SX1.h index 01c2b3d..00962ca 100644 --- a/include/configs/SX1.h +++ b/include/configs/SX1.h @@ -32,6 +32,8 @@ #define CONFIG_OMAP1510 1 /* which is in a 1510 (helen) */ #define CONFIG_OMAP_SX1 1 /* a SX1 Board */ +#define CONFIG_SYS_TEXT_BASE 0x11080000 + /* input clock of PLL */ #define CONFIG_SYS_CLK_FREQ 12000000 /* the SX1 has 12MHz input clock */ diff --git a/include/configs/TASREG.h b/include/configs/TASREG.h index c93b12e..20fee6c 100644 --- a/include/configs/TASREG.h +++ b/include/configs/TASREG.h @@ -41,6 +41,8 @@ #define CONFIG_MCF52x2 /* define processor family */ #define CONFIG_M5249 /* define processor type */ +#define CONFIG_SYS_TEXT_BASE 0xffc00000 + #define CONFIG_MISC_INIT_R 1 /* call misc_init_r() */ #define CONFIG_MCFTMR diff --git a/include/configs/VCMA9.h b/include/configs/VCMA9.h index ebe9e42..4cb84e8 100644 --- a/include/configs/VCMA9.h +++ b/include/configs/VCMA9.h @@ -38,6 +38,8 @@ #define CONFIG_S3C2410 1 /* specifically a SAMSUNG S3C2410 SoC */ #define CONFIG_VCMA9 1 /* on a MPL VCMA9 Board */ +#define CONFIG_SYS_TEXT_BASE 0x33F80000 + /* input clock of PLL */ #define CONFIG_SYS_CLK_FREQ 12000000/* VCMA9 has 12MHz input clock */ diff --git a/include/configs/a320evb.h b/include/configs/a320evb.h index f67cf06..e893b3a 100644 --- a/include/configs/a320evb.h +++ b/include/configs/a320evb.h @@ -31,6 +31,8 @@ #undef CONFIG_SKIP_LOWLEVEL_INIT +#define CONFIG_SYS_TEXT_BASE 0x13f80000 + /*----------------------------------------------------------------------- * Timer */ diff --git a/include/configs/afeb9260.h b/include/configs/afeb9260.h index 36a2a46..f326a3c 100644 --- a/include/configs/afeb9260.h +++ b/include/configs/afeb9260.h @@ -32,6 +32,8 @@ #define CONFIG_SYS_AT91_MAIN_CLOCK 18429952 /* from 18.432 MHz crystal */ #define CONFIG_SYS_HZ 1000 +#define CONFIG_SYS_TEXT_BASE 0x21f00000 + #define CONFIG_AT91SAM9260 1 /* It's an Atmel AT91SAM9260 SoC*/ #define CONFIG_AFEB9260 1 /* on an AFEB9260 Board */ #define CONFIG_ARCH_CPU_INIT diff --git a/include/configs/am3517_evm.h b/include/configs/am3517_evm.h index 9a9ba88..a466808 100644 --- a/include/configs/am3517_evm.h +++ b/include/configs/am3517_evm.h @@ -33,6 +33,8 @@ #define CONFIG_OMAP34XX 1 /* which is a 34XX */ #define CONFIG_OMAP3_AM3517EVM 1 /* working with AM3517EVM */ +#define CONFIG_SYS_TEXT_BASE 0x80e80000 + #define CONFIG_EMIF4 /* The chip has EMIF4 controller */ #include /* get chip and board defs */ diff --git a/include/configs/ap325rxa.h b/include/configs/ap325rxa.h index e7f37f5..dfd86c0 100644 --- a/include/configs/ap325rxa.h +++ b/include/configs/ap325rxa.h @@ -32,6 +32,8 @@ #define CONFIG_CPU_SH7723 1 #define CONFIG_AP325RXA 1 +#define CONFIG_SYS_TEXT_BASE 0x8FFC0000 + #define CONFIG_CMD_LOADB #define CONFIG_CMD_LOADS #define CONFIG_CMD_FLASH diff --git a/include/configs/apollon.h b/include/configs/apollon.h index aa74462..5105e37 100644 --- a/include/configs/apollon.h +++ b/include/configs/apollon.h @@ -37,6 +37,8 @@ #define CONFIG_APOLLON 1 #define CONFIG_APOLLON_PLUS 1 /* If you have apollon plus 1.x */ +#define CONFIG_SYS_TEXT_BASE 0x83e80000 + /* Clock config to target*/ #define PRCM_CONFIG_I 1 /* #define PRCM_CONFIG_II 1 */ diff --git a/include/configs/armadillo.h b/include/configs/armadillo.h index d0d0998..715b19b 100644 --- a/include/configs/armadillo.h +++ b/include/configs/armadillo.h @@ -36,6 +36,8 @@ */ #undef CONFIG_SKIP_LOWLEVEL_INIT +#define CONFIG_SYS_TEXT_BASE 0xc0f80000 + /* * High Level Configuration Options * (easy to change) diff --git a/include/configs/assabet.h b/include/configs/assabet.h index 5cd1836..f2d0125 100644 --- a/include/configs/assabet.h +++ b/include/configs/assabet.h @@ -36,6 +36,8 @@ #define CONFIG_SA1110 1 /* This is an SA1100 CPU */ #define CONFIG_ASSABET 1 /* on an Intel Assabet Board */ +#define CONFIG_SYS_TEXT_BASE 0xc1f00000 + #undef CONFIG_USE_IRQ /* we will never enable dcache, because we have to setup MMU first */ #define CONFIG_SYS_NO_DCACHE diff --git a/include/configs/at91cap9adk.h b/include/configs/at91cap9adk.h index 49c923f..5ebfe99 100644 --- a/include/configs/at91cap9adk.h +++ b/include/configs/at91cap9adk.h @@ -33,6 +33,8 @@ #define CONFIG_SYS_AT91_MAIN_CLOCK 12000000 /* 12 MHz crystal */ #define CONFIG_SYS_HZ 1000 +#define CONFIG_SYS_TEXT_BASE 0x73000000 + #define CONFIG_ARM926EJS 1 /* This is an ARM926EJS Core */ #define CONFIG_AT91CAP9 1 /* It's an Atmel AT91CAP9 SoC */ #define CONFIG_AT91CAP9ADK 1 /* on an AT91CAP9ADK Board */ diff --git a/include/configs/at91rm9200dk.h b/include/configs/at91rm9200dk.h index 15de310..07cdce0 100644 --- a/include/configs/at91rm9200dk.h +++ b/include/configs/at91rm9200dk.h @@ -32,6 +32,8 @@ #define AT91C_MASTER_CLOCK 59904000 /* peripheral clock (AT91C_MASTER_CLOCK / 3) */ /* #define AT91C_MASTER_CLOCK 44928000 */ /* peripheral clock (AT91C_MASTER_CLOCK / 4) */ +#define CONFIG_SYS_TEXT_BASE 0x21f00000 + #define AT91_SLOW_CLOCK 32768 /* slow clock */ #define CONFIG_ARM920T 1 /* This is an ARM920T Core */ diff --git a/include/configs/at91rm9200ek.h b/include/configs/at91rm9200ek.h index 14559f5..6ffcbc0 100644 --- a/include/configs/at91rm9200ek.h +++ b/include/configs/at91rm9200ek.h @@ -46,6 +46,8 @@ #define CONFIG_SYS_HZ_CLOCK (AT91C_MASTER_CLOCK / 2) #define CONFIG_SYS_HZ 1000 +#define CONFIG_SYS_TEXT_BASE 0x10000000 + /* CPU configuration */ #define CONFIG_ARM920T #define CONFIG_AT91RM9200 diff --git a/include/configs/at91sam9260ek.h b/include/configs/at91sam9260ek.h index 5e7dee5..c576e90 100644 --- a/include/configs/at91sam9260ek.h +++ b/include/configs/at91sam9260ek.h @@ -33,6 +33,8 @@ #define CONFIG_SYS_AT91_MAIN_CLOCK 18432000 /* 18.432 MHz crystal */ #define CONFIG_SYS_HZ 1000 +#define CONFIG_SYS_TEXT_BASE 0x23f00000 + #define CONFIG_ARM926EJS 1 /* This is an ARM926EJS Core */ #ifdef CONFIG_AT91SAM9G20EK diff --git a/include/configs/at91sam9261ek.h b/include/configs/at91sam9261ek.h index 401478b..cdfb520 100644 --- a/include/configs/at91sam9261ek.h +++ b/include/configs/at91sam9261ek.h @@ -33,6 +33,8 @@ #define CONFIG_SYS_AT91_MAIN_CLOCK 18432000 /* 18.432 MHz crystal */ #define CONFIG_SYS_HZ 1000 +#define CONFIG_SYS_TEXT_BASE 0x23f00000 + #define CONFIG_ARM926EJS 1 /* This is an ARM926EJS Core */ #ifdef CONFIG_AT91SAM9G10EK #define CONFIG_AT91SAM9G10 1 /* It's an Atmel AT91SAM9G10 SoC*/ diff --git a/include/configs/at91sam9263ek.h b/include/configs/at91sam9263ek.h index f6cb406..a8692d7 100644 --- a/include/configs/at91sam9263ek.h +++ b/include/configs/at91sam9263ek.h @@ -31,6 +31,8 @@ #define CONFIG_SYS_AT91_MAIN_CLOCK 16367660 /* 16.367 MHz crystal */ #define CONFIG_SYS_HZ 1000 +#define CONFIG_SYS_TEXT_BASE 0x23f00000 + #define CONFIG_ARM926EJS 1 /* This is an ARM926EJS Core */ #define CONFIG_AT91SAM9263 1 /* It's an Atmel AT91SAM9263 SoC*/ #define CONFIG_AT91SAM9263EK 1 /* on an AT91SAM9263EK Board */ diff --git a/include/configs/at91sam9m10g45ek.h b/include/configs/at91sam9m10g45ek.h index de74dcf..0f39317 100644 --- a/include/configs/at91sam9m10g45ek.h +++ b/include/configs/at91sam9m10g45ek.h @@ -33,6 +33,8 @@ #define CONFIG_SYS_AT91_MAIN_CLOCK 12000000 /* from 12 MHz crystal */ #define CONFIG_SYS_HZ 1000 +#define CONFIG_SYS_TEXT_BASE 0x73f00000 + #define CONFIG_ARM926EJS 1 /* This is an ARM926EJS Core */ #ifdef CONFIG_AT91SAM9M10G45EK #define CONFIG_AT91SAM9M10G45 1 /* It's an Atmel AT91SAM9M10G45 SoC*/ diff --git a/include/configs/at91sam9rlek.h b/include/configs/at91sam9rlek.h index 8dbd082..0a112ac 100644 --- a/include/configs/at91sam9rlek.h +++ b/include/configs/at91sam9rlek.h @@ -33,6 +33,8 @@ #define CONFIG_SYS_AT91_MAIN_CLOCK 12000000 /* 12 MHz crystal */ #define CONFIG_SYS_HZ 1000 +#define CONFIG_SYS_TEXT_BASE 0x23f00000 + #define CONFIG_ARM926EJS 1 /* This is an ARM926EJS Core */ #define CONFIG_AT91SAM9RL 1 /* It's an Atmel AT91SAM9RL SoC*/ #define CONFIG_AT91SAM9RLEK 1 /* on an AT91SAM9RLEK Board */ diff --git a/include/configs/cm4008.h b/include/configs/cm4008.h index 6e4a3b4..e20488d 100644 --- a/include/configs/cm4008.h +++ b/include/configs/cm4008.h @@ -31,6 +31,8 @@ #define CONFIG_KS8695 1 /* it is a KS8695 CPU */ #define CONFIG_CM4008 1 /* it is an OpenGear CM4008 boad */ +#define CONFIG_SYS_TEXT_BASE 0x00f00000 + #undef CONFIG_USE_IRQ /* we don't need IRQ/FIQ stuff */ #define CONFIG_CMDLINE_TAG 1 /* enable passing of ATAGs */ diff --git a/include/configs/cm41xx.h b/include/configs/cm41xx.h index dca7d54..9a061b5 100644 --- a/include/configs/cm41xx.h +++ b/include/configs/cm41xx.h @@ -33,6 +33,8 @@ #undef CONFIG_USE_IRQ /* we don't need IRQ/FIQ stuff */ +#define CONFIG_SYS_TEXT_BASE 0x00f00000 + #define CONFIG_CMDLINE_TAG 1 /* enable passing of ATAGs */ #define CONFIG_SETUP_MEMORY_TAGS 1 #define CONFIG_INITRD_TAG 1 diff --git a/include/configs/cmc_pu2.h b/include/configs/cmc_pu2.h index a197635..afe8f5e 100644 --- a/include/configs/cmc_pu2.h +++ b/include/configs/cmc_pu2.h @@ -31,6 +31,8 @@ #define AT91C_MAIN_CLOCK 179712000 /* from 18.432 MHz crystal (18432000 / 4 * 39) */ #define AT91C_MASTER_CLOCK (AT91C_MAIN_CLOCK/3) /* peripheral clock */ +#define CONFIG_SYS_TEXT_BASE 0x20F00000 + #define AT91_SLOW_CLOCK 32768 /* slow clock */ #define CONFIG_ARM920T 1 /* This is an ARM920T Core */ diff --git a/include/configs/cobra5272.h b/include/configs/cobra5272.h index 5348ad1..ecfbf6e 100644 --- a/include/configs/cobra5272.h +++ b/include/configs/cobra5272.h @@ -61,6 +61,8 @@ #define CONFIG_SYS_CLK 66000000 #define CONFIG_SYS_SDRAM_SIZE 16 /* SDRAM size in MB */ +#define CONFIG_SYS_TEXT_BASE 0xffe00000 + /* --- * Enable use of Ethernet * --- diff --git a/include/configs/cpu9260.h b/include/configs/cpu9260.h index d239423..ba864ff 100644 --- a/include/configs/cpu9260.h +++ b/include/configs/cpu9260.h @@ -38,6 +38,8 @@ #define CONFIG_SYS_AT91_MAIN_CLOCK 18432000 #define CONFIG_SYS_HZ 1000 +#define CONFIG_SYS_TEXT_BASE 0x21f00000 + #define CONFIG_ARM926EJS 1 #if defined(CONFIG_CPU9260_128M) || defined(CONFIG_CPU9260) diff --git a/include/configs/cpuat91.h b/include/configs/cpuat91.h index f31081d..290e757 100644 --- a/include/configs/cpuat91.h +++ b/include/configs/cpuat91.h @@ -37,6 +37,8 @@ #define AT91_SLOW_CLOCK 32768 +#define CONFIG_SYS_TEXT_BASE 0x21F00000 + #define CONFIG_ARM920T 1 #define CONFIG_AT91RM9200 1 #define CONFIG_CPUAT91 1 diff --git a/include/configs/csb637.h b/include/configs/csb637.h index 7a85d65..732018e 100644 --- a/include/configs/csb637.h +++ b/include/configs/csb637.h @@ -32,6 +32,8 @@ #define AT91C_MAIN_CLOCK 184320000 /* from 3.6864 MHz crystal (3686400 * 50) */ #define AT91C_MASTER_CLOCK 46080000 /* (AT91C_MAIN_CLOCK/4) peripheral clock */ +#define CONFIG_SYS_TEXT_BASE 0x23fc0000 + #define AT91_SLOW_CLOCK 32768 /* slow clock */ #define CONFIG_ARM920T 1 /* This is an ARM920T Core */ diff --git a/include/configs/davinci_dm355evm.h b/include/configs/davinci_dm355evm.h index 8a69052..c7df926 100644 --- a/include/configs/davinci_dm355evm.h +++ b/include/configs/davinci_dm355evm.h @@ -28,6 +28,8 @@ #define CONFIG_SYS_CONSOLE_INFO_QUIET #define CONFIG_DISPLAY_CPUINFO +#define CONFIG_SYS_TEXT_BASE 0x81080000 + /* SoC Configuration */ #define CONFIG_ARM926EJS /* arm926ejs CPU */ #define CONFIG_SYS_TIMERBASE 0x01c21400 /* use timer 0 */ diff --git a/include/configs/davinci_dm355leopard.h b/include/configs/davinci_dm355leopard.h index c953032..3c89ce4 100644 --- a/include/configs/davinci_dm355leopard.h +++ b/include/configs/davinci_dm355leopard.h @@ -27,6 +27,8 @@ #define CONFIG_SYS_CONSOLE_INFO_QUIET #define CONFIG_DISPLAY_CPUINFO +#define CONFIG_SYS_TEXT_BASE 0x81080000 + /* SoC Configuration */ #define CONFIG_ARM926EJS /* arm926ejs CPU */ #define CONFIG_SYS_TIMERBASE 0x01c21400 /* use timer 0 */ diff --git a/include/configs/davinci_dm365evm.h b/include/configs/davinci_dm365evm.h index b78fe83..df5c840 100644 --- a/include/configs/davinci_dm365evm.h +++ b/include/configs/davinci_dm365evm.h @@ -34,6 +34,8 @@ #define CONFIG_SYS_HZ 1000 #define CONFIG_SOC_DM365 +#define CONFIG_SYS_TEXT_BASE 0x81080000 + /* Memory Info */ #define CONFIG_NR_DRAM_BANKS 1 #define PHYS_SDRAM_1 0x80000000 diff --git a/include/configs/davinci_dm6467evm.h b/include/configs/davinci_dm6467evm.h index f0a8e98..8299fd3 100644 --- a/include/configs/davinci_dm6467evm.h +++ b/include/configs/davinci_dm6467evm.h @@ -32,6 +32,8 @@ #define CONFIG_SYS_HZ 1000 #define CONFIG_SOC_DM646X +#define CONFIG_SYS_TEXT_BASE 0x81080000 + /* EEPROM definitions for EEPROM */ #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 2 #define CONFIG_SYS_I2C_EEPROM_ADDR 0x50 diff --git a/include/configs/davinci_dvevm.h b/include/configs/davinci_dvevm.h index e1b1db1..cca486b 100644 --- a/include/configs/davinci_dvevm.h +++ b/include/configs/davinci_dvevm.h @@ -60,6 +60,8 @@ #define CONFIG_SYS_HZ_CLOCK 27000000 /* Timer Input clock freq */ #define CONFIG_SYS_HZ 1000 #define CONFIG_SOC_DM644X +#define CONFIG_SYS_TEXT_BASE 0x81080000 + /*====================================================*/ /* EEPROM definitions for Atmel 24C256BN SEEPROM chip */ /* on Sonata/DV_EVM board. No EEPROM on schmoogie. */ diff --git a/include/configs/davinci_schmoogie.h b/include/configs/davinci_schmoogie.h index 967ebcc..371fd54 100644 --- a/include/configs/davinci_schmoogie.h +++ b/include/configs/davinci_schmoogie.h @@ -35,6 +35,8 @@ #define CONFIG_SYS_HZ_CLOCK 27000000 /* Timer Input clock freq */ #define CONFIG_SYS_HZ 1000 #define CONFIG_SOC_DM644X +#define CONFIG_SYS_TEXT_BASE 0x81080000 + /*=============*/ /* Memory Info */ /*=============*/ diff --git a/include/configs/davinci_sffsdr.h b/include/configs/davinci_sffsdr.h index 4d866d0..c8b24dd 100644 --- a/include/configs/davinci_sffsdr.h +++ b/include/configs/davinci_sffsdr.h @@ -35,6 +35,8 @@ #define CONFIG_SYS_HZ_CLOCK 27000000 /* Timer Input clock freq */ #define CONFIG_SYS_HZ 1000 #define CONFIG_SOC_DM644X +#define CONFIG_SYS_TEXT_BASE 0x84000000 + /* EEPROM definitions for Atmel 24LC64 EEPROM chip */ #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 2 #define CONFIG_SYS_I2C_EEPROM_ADDR 0x50 diff --git a/include/configs/davinci_sonata.h b/include/configs/davinci_sonata.h index f0eeb90..d694c45 100644 --- a/include/configs/davinci_sonata.h +++ b/include/configs/davinci_sonata.h @@ -60,6 +60,8 @@ #define CONFIG_SYS_HZ_CLOCK 27000000 /* Timer Input clock freq */ #define CONFIG_SYS_HZ 1000 #define CONFIG_SOC_DM644X +#define CONFIG_SYS_TEXT_BASE 0x81080000 + /*====================================================*/ /* EEPROM definitions for Atmel 24C256BN SEEPROM chip */ /* on Sonata/DV_EVM board. No EEPROM on schmoogie. */ diff --git a/include/configs/dbau1x00.h b/include/configs/dbau1x00.h index d8c9362..794267a 100644 --- a/include/configs/dbau1x00.h +++ b/include/configs/dbau1x00.h @@ -32,6 +32,8 @@ #define CONFIG_DBAU1X00 1 #define CONFIG_SOC_AU1X00 1 /* alchemy series cpu */ +#define CONFIG_SYS_TEXT_BASE 0xbfc00000 + #ifdef CONFIG_DBAU1000 /* Also known as Merlot */ #define CONFIG_SOC_AU1000 1 diff --git a/include/configs/dnp1110.h b/include/configs/dnp1110.h index 69c6420..14cf380 100644 --- a/include/configs/dnp1110.h +++ b/include/configs/dnp1110.h @@ -33,6 +33,8 @@ */ #define CONFIG_SKIP_LOWLEVEL_INIT 1 +#define CONFIG_SYS_TEXT_BASE 0xc1f80000 + /* * High Level Configuration Options * (easy to change) diff --git a/include/configs/edminiv2.h b/include/configs/edminiv2.h index a75f06a..61c8da8 100644 --- a/include/configs/edminiv2.h +++ b/include/configs/edminiv2.h @@ -45,6 +45,8 @@ #define CONFIG_88F5182 1 /* SOC Name */ #define CONFIG_MACH_EDMINIV2 1 /* Machine type */ +#define CONFIG_SYS_TEXT_BASE 0xfff90000 + /* * CLKs configurations */ diff --git a/include/configs/ep7312.h b/include/configs/ep7312.h index fdb98b5..09ebb33 100644 --- a/include/configs/ep7312.h +++ b/include/configs/ep7312.h @@ -36,6 +36,8 @@ #define CONFIG_ARM_THUMB 1 /* this is an ARM720TDMI */ #undef CONFIG_ARM7_REVD /* disable ARM720 REV.D Workarounds */ +#define CONFIG_SYS_TEXT_BASE 0xc0f80000 + #undef CONFIG_USE_IRQ /* don't need them anymore */ /* diff --git a/include/configs/espt.h b/include/configs/espt.h index 26389ed..9f7b153 100644 --- a/include/configs/espt.h +++ b/include/configs/espt.h @@ -32,6 +32,8 @@ #define CONFIG_ESPT 1 #define __LITTLE_ENDIAN 1 +#define CONFIG_SYS_TEXT_BASE 0x8FFC0000 + /* * Command line configuration. */ diff --git a/include/configs/evb4510.h b/include/configs/evb4510.h index fb05727..9dfdd36 100644 --- a/include/configs/evb4510.h +++ b/include/configs/evb4510.h @@ -45,6 +45,8 @@ #define CONFIG_EVB4510 1 /* on an EVB4510 Board */ #define CONFIG_SYS_NO_CP15_CACHE +#define CONFIG_SYS_TEXT_BASE 0x007d0000 + #define CONFIG_USE_IRQ #define CONFIG_STACKSIZE_IRQ (4*1024) #define CONFIG_STACKSIZE_FIQ (4*1024) diff --git a/include/configs/gcplus.h b/include/configs/gcplus.h index fd39ab4..07fa975 100644 --- a/include/configs/gcplus.h +++ b/include/configs/gcplus.h @@ -47,6 +47,8 @@ #define CONFIG_SA1110 1 /* This is an SA1100 CPU */ #define CONFIG_GCPLUS 1 /* on an ADS GCPlus Board */ +#define CONFIG_SYS_TEXT_BASE 0xc8f00000 + #undef CONFIG_USE_IRQ /* we don't need IRQ/FIQ stuff */ /* we will never enable dcache, because we have to setup MMU first */ #define CONFIG_SYS_NO_DCACHE diff --git a/include/configs/idmr.h b/include/configs/idmr.h index fc046d6..a0e7442 100644 --- a/include/configs/idmr.h +++ b/include/configs/idmr.h @@ -37,6 +37,8 @@ #define CONFIG_M5271 /* define processor type */ #define CONFIG_IDMR /* define board type */ +#define CONFIG_SYS_TEXT_BASE 0xff800000 + #undef CONFIG_WATCHDOG /* disable watchdog */ /* diff --git a/include/configs/igep0020.h b/include/configs/igep0020.h index c19ecc0..0494ce5 100644 --- a/include/configs/igep0020.h +++ b/include/configs/igep0020.h @@ -31,6 +31,8 @@ #define CONFIG_OMAP3430 1 /* which is in a 3430 */ #define CONFIG_OMAP3_IGEP0020 1 /* working with IGEP0020 */ +#define CONFIG_SYS_TEXT_BASE 0x80008000 + #define CONFIG_SDRC /* The chip has SDRC controller */ #include diff --git a/include/configs/igep0030.h b/include/configs/igep0030.h index d61793c..e081cd4 100644 --- a/include/configs/igep0030.h +++ b/include/configs/igep0030.h @@ -31,6 +31,8 @@ #define CONFIG_OMAP3430 1 /* which is in a 3430 */ #define CONFIG_OMAP3_IGEP0030 1 /* working with IGEP0030 */ +#define CONFIG_SYS_TEXT_BASE 0x80008000 + #define CONFIG_SDRC /* The chip has SDRC controller */ #include diff --git a/include/configs/impa7.h b/include/configs/impa7.h index 3328e63..5ce1db0 100644 --- a/include/configs/impa7.h +++ b/include/configs/impa7.h @@ -38,6 +38,8 @@ #undef CONFIG_USE_IRQ /* don't need them anymore */ +#define CONFIG_SYS_TEXT_BASE 0xc1780000 + /* * Size of malloc() pool */ diff --git a/include/configs/imx27lite-common.h b/include/configs/imx27lite-common.h index b8dc5aa..b6bd8c9 100644 --- a/include/configs/imx27lite-common.h +++ b/include/configs/imx27lite-common.h @@ -31,6 +31,8 @@ #define CONFIG_MX27_CLK32 32768 /* OSC32K frequency */ #define CONFIG_SYS_HZ 1000 +#define CONFIG_SYS_TEXT_BASE 0xc0000000 + #define CONFIG_DISPLAY_BOARDINFO #define CONFIG_DISPLAY_CPUINFO diff --git a/include/configs/imx31_litekit.h b/include/configs/imx31_litekit.h index 5023638..cfe3f39 100644 --- a/include/configs/imx31_litekit.h +++ b/include/configs/imx31_litekit.h @@ -36,6 +36,8 @@ #define CONFIG_MX31_HCLK_FREQ 26000000 #define CONFIG_MX31_CLK32 32000 +#define CONFIG_SYS_TEXT_BASE 0xa0000000 + #define CONFIG_DISPLAY_CPUINFO #define CONFIG_DISPLAY_BOARDINFO diff --git a/include/configs/imx31_phycore.h b/include/configs/imx31_phycore.h index 4d11f97..878ca99 100644 --- a/include/configs/imx31_phycore.h +++ b/include/configs/imx31_phycore.h @@ -34,6 +34,8 @@ #define CONFIG_MX31_HCLK_FREQ 26000000 #define CONFIG_MX31_CLK32 32000 +#define CONFIG_SYS_TEXT_BASE 0x87f00000 + #define CONFIG_DISPLAY_CPUINFO #define CONFIG_DISPLAY_BOARDINFO diff --git a/include/configs/incaip.h b/include/configs/incaip.h index b7ba6f4..b09b618 100644 --- a/include/configs/incaip.h +++ b/include/configs/incaip.h @@ -31,6 +31,8 @@ #define CONFIG_MIPS32 1 /* MIPS 4Kc CPU core */ #define CONFIG_INCA_IP 1 /* on a INCA-IP Board */ +#define CONFIG_SYS_TEXT_BASE 0xB0000000 + #ifndef CPU_CLOCK_RATE /* allowed values: 100000000, 133000000, and 150000000 */ #define CPU_CLOCK_RATE 150000000 /* default: 150 MHz clock for the MIPS core */ diff --git a/include/configs/integratorap.h b/include/configs/integratorap.h index 32ff193..4e6cbae 100644 --- a/include/configs/integratorap.h +++ b/include/configs/integratorap.h @@ -40,6 +40,8 @@ #define CONFIG_SYS_HZ_CLOCK 24000000 /* Timer 1 is clocked at 24Mhz */ #define CONFIG_SYS_TIMERBASE 0x13000100 /* Timer1 */ +#define CONFIG_SYS_TEXT_BASE 0x01000000 + #define CONFIG_CMDLINE_TAG 1 /* enable passing of ATAGs */ #define CONFIG_SETUP_MEMORY_TAGS 1 #define CONFIG_MISC_INIT_R 1 /* call misc_init_r during start up */ diff --git a/include/configs/integratorcp.h b/include/configs/integratorcp.h index 2c8ca2d..84ad3b6 100644 --- a/include/configs/integratorcp.h +++ b/include/configs/integratorcp.h @@ -41,6 +41,8 @@ #define CONFIG_SYS_HZ_CLOCK 1000000 /* Timer 1 is clocked at 1Mhz */ #define CONFIG_SYS_TIMERBASE 0x13000100 +#define CONFIG_SYS_TEXT_BASE 0x01000000 + #define CONFIG_CMDLINE_TAG 1 /* enable passing of ATAGs */ #define CONFIG_SETUP_MEMORY_TAGS 1 #define CONFIG_MISC_INIT_R 1 /* call misc_init_r during start up */ diff --git a/include/configs/ixdp425.h b/include/configs/ixdp425.h index 28d41e2..88e1dec 100644 --- a/include/configs/ixdp425.h +++ b/include/configs/ixdp425.h @@ -33,6 +33,8 @@ #define CONFIG_IXP425 1 /* This is an IXP425 CPU */ #define CONFIG_IXDP425 1 /* on an IXDP425 Board */ +#define CONFIG_SYS_TEXT_BASE 0x00f80000 + #define CONFIG_DISPLAY_CPUINFO 1 /* display cpu info (and speed) */ #define CONFIG_DISPLAY_BOARDINFO 1 /* display board info */ diff --git a/include/configs/jadecpu.h b/include/configs/jadecpu.h index c119392..9acda90 100644 --- a/include/configs/jadecpu.h +++ b/include/configs/jadecpu.h @@ -33,6 +33,8 @@ #define CONFIG_ARM926EJS 1 /* This is an ARM926EJS Core */ #undef CONFIG_USE_IRQ /* we don't need IRQ/FIQ stuff */ +#define CONFIG_SYS_TEXT_BASE 0x10000000 + /* * Environment settings */ diff --git a/include/configs/kb9202.h b/include/configs/kb9202.h index cfb7cea..9d69d3b 100644 --- a/include/configs/kb9202.h +++ b/include/configs/kb9202.h @@ -37,6 +37,8 @@ #define AT91_SLOW_CLOCK 32768 /* slow clock */ +#define CONFIG_SYS_TEXT_BASE 0x21f00000 + #define CONFIG_ARM920T 1 /* This is an ARM920T Core */ #define CONFIG_AT91RM9200 1 /* It's an Atmel AT91RM9200 SoC */ /* Only define one of the following, based on board type */ diff --git a/include/configs/lart.h b/include/configs/lart.h index 795cf34..c18aa53 100644 --- a/include/configs/lart.h +++ b/include/configs/lart.h @@ -34,6 +34,8 @@ #define CONFIG_SA1100 1 /* This is an SA1100 CPU */ #define CONFIG_LART 1 /* on an LART Board */ +#define CONFIG_SYS_TEXT_BASE 0xc1780000 + #undef CONFIG_USE_IRQ /* we don't need IRQ/FIQ stuff */ /* we will never enable dcache, because we have to setup MMU first */ #define CONFIG_SYS_NO_DCACHE diff --git a/include/configs/lpc2292sodimm.h b/include/configs/lpc2292sodimm.h index 17972d7..029dd4f 100644 --- a/include/configs/lpc2292sodimm.h +++ b/include/configs/lpc2292sodimm.h @@ -41,6 +41,8 @@ #define CONFIG_LPC2292 #undef CONFIG_ARM7_REVD /* disable ARM720 REV.D Workarounds */ +#define CONFIG_SYS_TEXT_BASE 0x81500000 + #undef CONFIG_USE_IRQ /* don't need them anymore */ /* diff --git a/include/configs/lpd7a400-10.h b/include/configs/lpd7a400-10.h index 91bf1fa..8e1a5e2 100644 --- a/include/configs/lpd7a400-10.h +++ b/include/configs/lpd7a400-10.h @@ -30,6 +30,8 @@ #define CONFIG_LH7A40X 1 /* Sharp LH7A40x SoC family */ #define CONFIG_LH7A400 1 /* Sharp LH7A400 S0C */ +#define CONFIG_SYS_TEXT_BASE 0xc1fc0000 + /* The system clock PLL input frequency */ #define CONFIG_SYS_CLK_FREQ 14745600 /* System Clock PLL Input (Hz) */ diff --git a/include/configs/lpd7a400.h b/include/configs/lpd7a400.h index 06f3d7e..63ee873 100644 --- a/include/configs/lpd7a400.h +++ b/include/configs/lpd7a400.h @@ -34,6 +34,8 @@ #define CONFIG_SETUP_MEMORY_TAGS 1 #define CONFIG_INITRD_TAG 1 +#define CONFIG_SYS_TEXT_BASE 0xc1fc0000 + /* * Size of malloc() pool */ diff --git a/include/configs/lpd7a404-10.h b/include/configs/lpd7a404-10.h index b10e69d..f62234c 100644 --- a/include/configs/lpd7a404-10.h +++ b/include/configs/lpd7a404-10.h @@ -30,6 +30,8 @@ #define CONFIG_LH7A40X 1 /* Sharp LH7A40x SoC family */ #define CONFIG_LH7A404 1 /* Sharp LH7A404 SoC */ +#define CONFIG_SYS_TEXT_BASE 0xc1fc0000 + /* The system clock PLL input frequency */ #define CONFIG_SYS_CLK_FREQ 14745600 /* System Clock PLL Input (Hz) */ diff --git a/include/configs/lpd7a404.h b/include/configs/lpd7a404.h index 7535f62..8702d95 100644 --- a/include/configs/lpd7a404.h +++ b/include/configs/lpd7a404.h @@ -34,6 +34,8 @@ #define CONFIG_SETUP_MEMORY_TAGS 1 #define CONFIG_INITRD_TAG 1 +#define CONFIG_SYS_TEXT_BASE 0xc1fc0000 + /* * Size of malloc() pool */ diff --git a/include/configs/m501sk.h b/include/configs/m501sk.h index 68f0415..d49295e 100644 --- a/include/configs/m501sk.h +++ b/include/configs/m501sk.h @@ -36,6 +36,8 @@ #define AT91C_MASTER_CLOCK 59904000 #define AT91_SLOW_CLOCK 32768 /* slow clock */ +#define CONFIG_SYS_TEXT_BASE 0x21f00000 + #define CONFIG_AT91RM9200 1 /* It's an Atmel AT91RM9200 SoC */ #define CONFIG_AT91RM9200DK 1 /* on an AT91RM9200DK Board */ #undef CONFIG_USE_IRQ /* we don't need IRQ/FIQ stuff */ diff --git a/include/configs/meesc.h b/include/configs/meesc.h index a27b36b..ec12b09 100644 --- a/include/configs/meesc.h +++ b/include/configs/meesc.h @@ -37,6 +37,8 @@ #define CONFIG_AT91SAM9263 1 /* It's an AT91SAM9263 SoC */ #define CONFIG_SYS_AT91_MAIN_CLOCK 16000000/* 16.0 MHz crystal */ #define CONFIG_SYS_HZ 1000 /* decrementer freq */ +#define CONFIG_SYS_TEXT_BASE 0x21f00000 + #define CONFIG_DISPLAY_BOARDINFO 1 #define CONFIG_DISPLAY_CPUINFO 1 /* display cpu info and speed */ #define CONFIG_PREBOOT /* enable preboot variable */ diff --git a/include/configs/modnet50.h b/include/configs/modnet50.h index 57707f3..0687eee 100644 --- a/include/configs/modnet50.h +++ b/include/configs/modnet50.h @@ -40,6 +40,8 @@ #define CONFIG_MODNET50 1 /* on an ModNET50 Board */ +#define CONFIG_SYS_TEXT_BASE 0x00f00000 + #undef CONFIG_USE_IRQ /* don't need them anymore */ /* diff --git a/include/configs/mp2usb.h b/include/configs/mp2usb.h index 8e398d7..f20c52b 100644 --- a/include/configs/mp2usb.h +++ b/include/configs/mp2usb.h @@ -36,6 +36,8 @@ #define AT91_SLOW_CLOCK 32768 /* slow clock */ +#define CONFIG_SYS_TEXT_BASE 0x27F00000 + #define CONFIG_ARM920T 1 /* This is an ARM920T Core */ #define CONFIG_AT91RM9200 1 /* It's an Atmel AT91RM9200 SoC */ #define CONFIG_AT91RM9200DK 1 /* on an AT91RM9200DK Board */ diff --git a/include/configs/mpr2.h b/include/configs/mpr2.h index 311f524..287be22 100644 --- a/include/configs/mpr2.h +++ b/include/configs/mpr2.h @@ -26,6 +26,8 @@ #ifndef __MPR2_H #define __MPR2_H +#define CONFIG_SYS_TEXT_BASE 0x8FFC0000 + /* Supported commands */ #define CONFIG_CMD_SAVEENV #define CONFIG_CMD_CACHE diff --git a/include/configs/ms7720se.h b/include/configs/ms7720se.h index 5304237..71cc694 100644 --- a/include/configs/ms7720se.h +++ b/include/configs/ms7720se.h @@ -30,6 +30,8 @@ #define CONFIG_CPU_SH7720 1 #define CONFIG_MS7720SE 1 +#define CONFIG_SYS_TEXT_BASE 0x8FFC0000 + #define CONFIG_CMD_FLASH #define CONFIG_CMD_SAVEENV #define CONFIG_CMD_SDRAM diff --git a/include/configs/ms7722se.h b/include/configs/ms7722se.h index 1ddadf6..97c3b84 100644 --- a/include/configs/ms7722se.h +++ b/include/configs/ms7722se.h @@ -30,6 +30,8 @@ #define CONFIG_CPU_SH7722 1 #define CONFIG_MS7722SE 1 +#define CONFIG_SYS_TEXT_BASE 0x8FFC0000 + #define CONFIG_CMD_FLASH #define CONFIG_CMD_JFFS2 #define CONFIG_CMD_NET diff --git a/include/configs/ms7750se.h b/include/configs/ms7750se.h index 9b43acb..86e7ded 100644 --- a/include/configs/ms7750se.h +++ b/include/configs/ms7750se.h @@ -33,6 +33,8 @@ #define CONFIG_MS7750SE 1 #define __LITTLE_ENDIAN__ 1 +#define CONFIG_SYS_TEXT_BASE 0x8FFC0000 + /* * Command line configuration. */ diff --git a/include/configs/mx1ads.h b/include/configs/mx1ads.h index 166da6c..a8573d0 100644 --- a/include/configs/mx1ads.h +++ b/include/configs/mx1ads.h @@ -37,6 +37,8 @@ #define CONFIG_MX1ADS 1 /* on a Motorola MX1ADS Board */ #undef CONFIG_USE_IRQ /* we don't need IRQ/FIQ stuff */ +#define CONFIG_SYS_TEXT_BASE 0x08400000 + /* * Select serial console configuration */ diff --git a/include/configs/mx1fs2.h b/include/configs/mx1fs2.h index 1632ce8..5da4447 100644 --- a/include/configs/mx1fs2.h +++ b/include/configs/mx1fs2.h @@ -25,6 +25,8 @@ #define CONFIG_MX1FS2 1 /* on a mx1fs2 board */ #undef CONFIG_USE_IRQ /* don't need use IRQ/FIQ */ +#define CONFIG_SYS_TEXT_BASE 0x08f00000 + /* * Select serial console configuration */ diff --git a/include/configs/netstar.h b/include/configs/netstar.h index f159013..0e0d1b5 100644 --- a/include/configs/netstar.h +++ b/include/configs/netstar.h @@ -31,6 +31,8 @@ #define CONFIG_OMAP 1 /* in a TI OMAP core */ #define CONFIG_OMAP1510 1 /* which is in a 5910 */ +#define CONFIG_SYS_TEXT_BASE 0x13FC0000 + /* Input clock of PLL */ #define CONFIG_SYS_CLK_FREQ 150000000 /* 150MHz */ #define CONFIG_XTAL_FREQ 12000000 /* 12MHz */ diff --git a/include/configs/nhk8815.h b/include/configs/nhk8815.h index 49a16ab..50951d3 100644 --- a/include/configs/nhk8815.h +++ b/include/configs/nhk8815.h @@ -33,6 +33,8 @@ #define CONFIG_NOMADIK_8815 /* cpu variant */ #define CONFIG_NOMADIK_NHK8815 /* board variant */ +#define CONFIG_SYS_TEXT_BASE 0x03F80000 + #define CONFIG_SKIP_LOWLEVEL_INIT /* we have already been loaded to RAM */ /* commands */ diff --git a/include/configs/ns9750dev.h b/include/configs/ns9750dev.h index e6b774f..f87cb1d 100644 --- a/include/configs/ns9750dev.h +++ b/include/configs/ns9750dev.h @@ -35,6 +35,8 @@ #define CONFIG_NS9750 1 /* in an NetSilicon NS9750 SoC */ #define CONFIG_NS9750DEV 1 /* on an NetSilicon NS9750 DevBoard */ +#define CONFIG_SYS_TEXT_BASE 0x00780000 + /* input clock of PLL */ #define CONFIG_SYS_CLK_FREQ 324403200 /* Don't use PLL. SW11-4 off */ diff --git a/include/configs/omap1510inn.h b/include/configs/omap1510inn.h index 9ff4f84..721b5bc 100644 --- a/include/configs/omap1510inn.h +++ b/include/configs/omap1510inn.h @@ -35,6 +35,8 @@ #define CONFIG_OMAP1510 1 /* which is in a 1510 (helen) */ #define CONFIG_INNOVATOROMAP1510 1 /* a Innovator Board */ +#define CONFIG_SYS_TEXT_BASE 0x11080000 + /* input clock of PLL */ #define CONFIG_SYS_CLK_FREQ 12000000 /* the OMAP1510 Innovator has 12MHz input clock */ diff --git a/include/configs/omap1610inn.h b/include/configs/omap1610inn.h index 0b41c46..c5f17d0 100644 --- a/include/configs/omap1610inn.h +++ b/include/configs/omap1610inn.h @@ -36,6 +36,8 @@ #define CONFIG_INNOVATOROMAP1610 1 /* a Innovator Board */ #define CONFIG_MACH_OMAP_INNOVATOR /* Select board mach-type */ +#define CONFIG_SYS_TEXT_BASE 0x11080000 + /* input clock of PLL */ /* the OMAP1610 Innovator has 12MHz input clock */ #define CONFIG_SYS_CLK_FREQ 12000000 diff --git a/include/configs/omap2420h4.h b/include/configs/omap2420h4.h index 7161ab1..c31c8ea 100644 --- a/include/configs/omap2420h4.h +++ b/include/configs/omap2420h4.h @@ -38,6 +38,8 @@ /*#define CONFIG_APTIX 1 #* define if on APTIX test chip */ /*#define CONFIG_VIRTIO 1 #* Using Virtio simulator */ +#define CONFIG_SYS_TEXT_BASE 0x80e80000 + /* Clock config to target*/ #define PRCM_CONFIG_II 1 /* #define PRCM_CONFIG_III 1 */ diff --git a/include/configs/omap3_beagle.h b/include/configs/omap3_beagle.h index 56363f7..79f8a0a 100644 --- a/include/configs/omap3_beagle.h +++ b/include/configs/omap3_beagle.h @@ -37,6 +37,8 @@ #define CONFIG_OMAP3430 1 /* which is in a 3430 */ #define CONFIG_OMAP3_BEAGLE 1 /* working with BEAGLE */ +#define CONFIG_SYS_TEXT_BASE 0x80008000 + #define CONFIG_SDRC /* The chip has SDRC controller */ #include /* get chip and board defs */ diff --git a/include/configs/omap3_evm.h b/include/configs/omap3_evm.h index 84b2986..f05344a 100644 --- a/include/configs/omap3_evm.h +++ b/include/configs/omap3_evm.h @@ -42,6 +42,8 @@ #define CONFIG_OMAP3430 1 /* which is in a 3430 */ #define CONFIG_OMAP3_EVM 1 /* working with EVM */ +#define CONFIG_SYS_TEXT_BASE 0x80008000 + #define CONFIG_SDRC /* The chip has SDRC controller */ #include /* get chip and board defs */ diff --git a/include/configs/omap3_overo.h b/include/configs/omap3_overo.h index 1b3d439..29d3a2c 100644 --- a/include/configs/omap3_overo.h +++ b/include/configs/omap3_overo.h @@ -29,6 +29,8 @@ #define CONFIG_OMAP3430 1 /* which is in a 3430 */ #define CONFIG_OMAP3_OVERO 1 /* working with overo */ +#define CONFIG_SYS_TEXT_BASE 0x80008000 + #define CONFIG_SDRC /* The chip has SDRC controller */ #include /* get chip and board defs */ diff --git a/include/configs/omap3_sdp3430.h b/include/configs/omap3_sdp3430.h index a5eb648..9fd2f5e 100644 --- a/include/configs/omap3_sdp3430.h +++ b/include/configs/omap3_sdp3430.h @@ -42,6 +42,8 @@ #define CONFIG_OMAP3430 1 /* which is in a 3430 */ #define CONFIG_OMAP3_3430SDP 1 /* working with SDP Rev2 */ +#define CONFIG_SYS_TEXT_BASE 0x80e80000 + #define CONFIG_SDRC /* The chip has SDRC controller */ #include /* get chip and board defs */ diff --git a/include/configs/omap3_zoom1.h b/include/configs/omap3_zoom1.h index d9e8ea0..ded0f78 100644 --- a/include/configs/omap3_zoom1.h +++ b/include/configs/omap3_zoom1.h @@ -38,6 +38,8 @@ #define CONFIG_OMAP3430 1 /* which is in a 3430 */ #define CONFIG_OMAP3_ZOOM1 1 /* working with Zoom MDK Rev1 */ +#define CONFIG_SYS_TEXT_BASE 0x80e80000 + #define CONFIG_SDRC /* The chip has SDRC controller */ #include /* get chip and board defs */ diff --git a/include/configs/omap3_zoom2.h b/include/configs/omap3_zoom2.h index 41abe04..622fabb 100644 --- a/include/configs/omap3_zoom2.h +++ b/include/configs/omap3_zoom2.h @@ -39,6 +39,8 @@ #define CONFIG_OMAP3430 1 /* which is in a 3430 */ #define CONFIG_OMAP3_ZOOM2 1 /* working with Zoom II */ +#define CONFIG_SYS_TEXT_BASE 0x80e80000 + #define CONFIG_SDRC /* The chip has SDRC controller */ #include /* get chip and board defs */ diff --git a/include/configs/omap4_panda.h b/include/configs/omap4_panda.h index 2b03b0f..2cdf5d1 100644 --- a/include/configs/omap4_panda.h +++ b/include/configs/omap4_panda.h @@ -37,6 +37,8 @@ #define CONFIG_PANDA 1 /* working with Panda */ #define CONFIG_ARCH_CPU_INIT +#define CONFIG_SYS_TEXT_BASE 0x80e80000 + /* Get CPU defs */ #include #include diff --git a/include/configs/omap4_sdp4430.h b/include/configs/omap4_sdp4430.h index ed0bd41..d16886c 100644 --- a/include/configs/omap4_sdp4430.h +++ b/include/configs/omap4_sdp4430.h @@ -38,6 +38,8 @@ #define CONFIG_4430SDP 1 /* working with SDP */ #define CONFIG_ARCH_CPU_INIT +#define CONFIG_SYS_TEXT_BASE 0x80e80000 + /* Get CPU defs */ #include #include diff --git a/include/configs/omap5912osk.h b/include/configs/omap5912osk.h index b875464..bfb90e4 100644 --- a/include/configs/omap5912osk.h +++ b/include/configs/omap5912osk.h @@ -35,6 +35,8 @@ #define CONFIG_OMAP1610 1 /* 5912 is same as 1610 */ #define CONFIG_OSK_OMAP5912 1 /* a OSK Board */ +#define CONFIG_SYS_TEXT_BASE 0x11080000 + #define CONFIG_DISPLAY_CPUINFO 1 /* display cpu info (and speed) */ #define CONFIG_DISPLAY_BOARDINFO 1 /* display board info */ diff --git a/include/configs/omap730p2.h b/include/configs/omap730p2.h index fa3681e..07fb50a 100644 --- a/include/configs/omap730p2.h +++ b/include/configs/omap730p2.h @@ -40,6 +40,8 @@ #define CONFIG_OMAP730 1 /* which is in a 730 */ #define CONFIG_P2_OMAP730 1 /* a Perseus 2 Board */ +#define CONFIG_SYS_TEXT_BASE 0x11080000 + /* * Input clock of PLL * The OMAP730 Perseus 2 has 13MHz input clock diff --git a/include/configs/otc570.h b/include/configs/otc570.h index ca3bf26..d8bacea 100644 --- a/include/configs/otc570.h +++ b/include/configs/otc570.h @@ -37,6 +37,8 @@ #define CONFIG_AT91SAM9263 1 /* It's an AT91SAM9263 SoC */ #define CONFIG_SYS_AT91_MAIN_CLOCK 16000000/* 16.0 MHz crystal */ #define CONFIG_SYS_HZ 1000 /* decrementer freq */ +#define CONFIG_SYS_TEXT_BASE 0x23f00000 + #define CONFIG_DISPLAY_BOARDINFO 1 #define CONFIG_DISPLAY_CPUINFO 1 /* display cpu info and speed */ #define CONFIG_PREBOOT /* enable preboot variable */ diff --git a/include/configs/pb1x00.h b/include/configs/pb1x00.h index d5cf89a..c6b8e24 100644 --- a/include/configs/pb1x00.h +++ b/include/configs/pb1x00.h @@ -32,6 +32,8 @@ #define CONFIG_PB1X00 1 #define CONFIG_SOC_AU1X00 1 /* alchemy series cpu */ +#define CONFIG_SYS_TEXT_BASE 0x83800000 + #ifdef CONFIG_PB1000 #define CONFIG_SOC_AU1000 1 #else diff --git a/include/configs/pdnb3.h b/include/configs/pdnb3.h index 33fa6ee..695cc0f 100644 --- a/include/configs/pdnb3.h +++ b/include/configs/pdnb3.h @@ -33,6 +33,8 @@ #define CONFIG_IXP425 1 /* This is an IXP425 CPU */ #define CONFIG_PDNB3 1 /* on an PDNB3 board */ +#define CONFIG_SYS_TEXT_BASE 0x01f00000 + #define CONFIG_DISPLAY_CPUINFO 1 /* display cpu info (and speed) */ #define CONFIG_DISPLAY_BOARDINFO 1 /* display board info */ diff --git a/include/configs/pm9263.h b/include/configs/pm9263.h index 619af2d..3c783a3 100644 --- a/include/configs/pm9263.h +++ b/include/configs/pm9263.h @@ -39,6 +39,8 @@ #define CONFIG_SYS_HZ 1000 +#define CONFIG_SYS_TEXT_BASE 0x23f00000 + #define CONFIG_ARM926EJS 1 /* This is an ARM926EJS Core */ #define CONFIG_AT91SAM9263 1 /* It's an Atmel AT91SAM9263 SoC*/ #define CONFIG_PM9263 1 /* on a Ronetix PM9263 Board */ diff --git a/include/configs/pm9g45.h b/include/configs/pm9g45.h index 3ed6b56..94ce692 100644 --- a/include/configs/pm9g45.h +++ b/include/configs/pm9g45.h @@ -40,6 +40,8 @@ #define CONFIG_SYS_AT91_MAIN_CLOCK 12000000 /* from 12 MHz crystal */ #define CONFIG_SYS_HZ 1000 +#define CONFIG_SYS_TEXT_BASE 0x73f00000 + #define CONFIG_ARCH_CPU_INIT #define CONFIG_CMDLINE_TAG 1 /* enable passing of ATAGs */ diff --git a/include/configs/purple.h b/include/configs/purple.h index 25d8ebe..d3fcc72 100644 --- a/include/configs/purple.h +++ b/include/configs/purple.h @@ -34,6 +34,8 @@ #define CPU_CLOCK_RATE 125000000 /* 125 MHz clock for the MIPS core */ #define ASC_CLOCK_RATE 62500000 /* 62.5 MHz ASC clock */ +#define CONFIG_SYS_TEXT_BASE 0xB0000000 + #define INFINEON_EBU_BOOTCFG 0xE0CC #define CONFIG_STACKSIZE (128 * 1024) diff --git a/include/configs/qemu-mips.h b/include/configs/qemu-mips.h index fb697d5..c60124a 100644 --- a/include/configs/qemu-mips.h +++ b/include/configs/qemu-mips.h @@ -32,6 +32,8 @@ #define CONFIG_QEMU_MIPS 1 #define CONFIG_MISC_INIT_R +#define CONFIG_SYS_TEXT_BASE 0xbfc00000 + /*IP address is default used by Qemu*/ #define CONFIG_IPADDR 10.0.2.15 /* Our IP address */ #define CONFIG_SERVERIP 10.0.2.2 /* Server IP address */ diff --git a/include/configs/qong.h b/include/configs/qong.h index e2f7a5e..1f7f8e0 100644 --- a/include/configs/qong.h +++ b/include/configs/qong.h @@ -31,6 +31,8 @@ #define CONFIG_MX31_HCLK_FREQ 26000000 /* 26MHz */ #define CONFIG_MX31_CLK32 32768 +#define CONFIG_SYS_TEXT_BASE 0xa0000000 + #define CONFIG_DISPLAY_CPUINFO #define CONFIG_DISPLAY_BOARDINFO diff --git a/include/configs/r2dplus.h b/include/configs/r2dplus.h index ade6f7c..f3d4a74 100644 --- a/include/configs/r2dplus.h +++ b/include/configs/r2dplus.h @@ -10,6 +10,8 @@ #define CONFIG_R2DPLUS 1 #define __LITTLE_ENDIAN__ 1 +#define CONFIG_SYS_TEXT_BASE 0x0FFC0000 + /* * Command line configuration. */ diff --git a/include/configs/r7780mp.h b/include/configs/r7780mp.h index 3416cb8..226d386 100644 --- a/include/configs/r7780mp.h +++ b/include/configs/r7780mp.h @@ -34,6 +34,8 @@ #define CONFIG_SYS_R7780MP_OLD_FLASH 1 #define __LITTLE_ENDIAN__ 1 +#define CONFIG_SYS_TEXT_BASE 0x0FFC0000 + /* * Command line configuration. */ diff --git a/include/configs/rsk7203.h b/include/configs/rsk7203.h index b3feaa8..893e149 100644 --- a/include/configs/rsk7203.h +++ b/include/configs/rsk7203.h @@ -33,6 +33,8 @@ #define CONFIG_CPU_SH7203 1 #define CONFIG_RSK7203 1 +#define CONFIG_SYS_TEXT_BASE 0x0C7C0000 + #define CONFIG_CMD_FLASH #define CONFIG_CMD_NET #define CONFIG_CMD_NFS diff --git a/include/configs/s5p_goni.h b/include/configs/s5p_goni.h index 541aec0..adce4d4 100644 --- a/include/configs/s5p_goni.h +++ b/include/configs/s5p_goni.h @@ -34,6 +34,8 @@ #define CONFIG_S5PC110 1 /* which is in a S5PC110 */ #define CONFIG_MACH_GONI 1 /* working with Goni */ +#define CONFIG_SYS_TEXT_BASE 0x34800000 + #include /* get chip and board defs */ #define CONFIG_ARCH_CPU_INIT diff --git a/include/configs/sbc2410x.h b/include/configs/sbc2410x.h index f0f19b2..e266c63 100644 --- a/include/configs/sbc2410x.h +++ b/include/configs/sbc2410x.h @@ -39,6 +39,8 @@ */ #undef CONFIG_SKIP_LOWLEVEL_INIT /* undef for developing */ +#define CONFIG_SYS_TEXT_BASE 0x33F80000 + /* * High Level Configuration Options * (easy to change) diff --git a/include/configs/sbc35_a9g20.h b/include/configs/sbc35_a9g20.h index 00f4dc9..ca9f087 100644 --- a/include/configs/sbc35_a9g20.h +++ b/include/configs/sbc35_a9g20.h @@ -44,6 +44,8 @@ #define CONFIG_SYS_AT91_MAIN_CLOCK 12000000 /* 12.000 MHz crystal */ #define CONFIG_SYS_HZ 1000 +#define CONFIG_SYS_TEXT_BASE 0x23f00000 + #define CONFIG_ARM926EJS 1 /* This is an ARM926EJS Core */ #define CONFIG_ARCH_CPU_INIT diff --git a/include/configs/scb9328.h b/include/configs/scb9328.h index 3da214e..9671684 100644 --- a/include/configs/scb9328.h +++ b/include/configs/scb9328.h @@ -29,6 +29,8 @@ #define CONFIG_SCB9328 1 /* on a scb9328tronix board */ #undef CONFIG_USE_IRQ /* don't need use IRQ/FIQ */ +#define CONFIG_SYS_TEXT_BASE 0x08f00000 + #define CONFIG_IMX_SERIAL #define CONFIG_IMX_SERIAL1 /* diff --git a/include/configs/sh7763rdp.h b/include/configs/sh7763rdp.h index 209cb88..b4caf6e 100644 --- a/include/configs/sh7763rdp.h +++ b/include/configs/sh7763rdp.h @@ -32,6 +32,8 @@ #define CONFIG_SH7763RDP 1 #define __LITTLE_ENDIAN 1 +#define CONFIG_SYS_TEXT_BASE 0x8FFC0000 + /* * Command line configuration. */ diff --git a/include/configs/shannon.h b/include/configs/shannon.h index c0e6643..8c67c5e 100644 --- a/include/configs/shannon.h +++ b/include/configs/shannon.h @@ -35,6 +35,8 @@ #define CONFIG_INFERNO /* we are using the inferno bootldr */ #define CONFIG_SKIP_LOWLEVEL_INIT 1 +#define CONFIG_SYS_TEXT_BASE 0xd8380000 + /* * High Level Configuration Options * (easy to change) diff --git a/include/configs/smdk2400.h b/include/configs/smdk2400.h index 064749e..48fdee0 100644 --- a/include/configs/smdk2400.h +++ b/include/configs/smdk2400.h @@ -39,6 +39,8 @@ #define CONFIG_S3C2400 1 /* specifically a SAMSUNG S3C2400 SoC */ #define CONFIG_SMDK2400 1 /* on an SAMSUNG SMDK2400 Board */ +#define CONFIG_SYS_TEXT_BASE 0x0CF80000 + /* input clock of PLL */ #define CONFIG_SYS_CLK_FREQ 12000000 /* SMDK2400 has 12 MHz input clock */ #undef CONFIG_USE_IRQ /* we don't need IRQ/FIQ stuff */ diff --git a/include/configs/smdk2410.h b/include/configs/smdk2410.h index 62fe97e..7b16b54 100644 --- a/include/configs/smdk2410.h +++ b/include/configs/smdk2410.h @@ -38,6 +38,8 @@ #define CONFIG_S3C2410 1 /* specifically a SAMSUNG S3C2410 SoC */ #define CONFIG_SMDK2410 1 /* on a SAMSUNG SMDK2410 Board */ +#define CONFIG_SYS_TEXT_BASE 0x33F80000 + /* input clock of PLL */ #define CONFIG_SYS_CLK_FREQ 12000000/* the SMDK2410 has 12MHz input clock */ diff --git a/include/configs/smdkc100.h b/include/configs/smdkc100.h index e36b262..67ea43e 100644 --- a/include/configs/smdkc100.h +++ b/include/configs/smdkc100.h @@ -38,6 +38,8 @@ #define CONFIG_S5PC100 1 /* which is in a S5PC100 */ #define CONFIG_SMDKC100 1 /* working with SMDKC100 */ +#define CONFIG_SYS_TEXT_BASE 0x34800000 + #include /* get chip and board defs */ #define CONFIG_ARCH_CPU_INIT diff --git a/include/configs/tb0229.h b/include/configs/tb0229.h index 011a683..65c77dd 100644 --- a/include/configs/tb0229.h +++ b/include/configs/tb0229.h @@ -28,6 +28,8 @@ #define CONFIG_MIPS32 1 /* MIPS 4Kc CPU core */ #define CONFIG_TB0229 1 /* on a TB0229 Board */ +#define CONFIG_SYS_TEXT_BASE 0xBFC00000 + #ifndef CPU_CLOCK_RATE #define CPU_CLOCK_RATE 200000000 /* 200 MHz clock for the MIPS core */ #endif diff --git a/include/configs/tnetv107x_evm.h b/include/configs/tnetv107x_evm.h index 3627ce7..1cdc029 100644 --- a/include/configs/tnetv107x_evm.h +++ b/include/configs/tnetv107x_evm.h @@ -28,6 +28,8 @@ #include #include +#define CONFIG_SYS_TEXT_BASE 0x83FC0000 + /* Architecture, CPU, etc */ #define CONFIG_ARM1176 #define CONFIG_TNETV107X diff --git a/include/configs/tny_a9260.h b/include/configs/tny_a9260.h index 7b18022..0714d5b 100644 --- a/include/configs/tny_a9260.h +++ b/include/configs/tny_a9260.h @@ -54,6 +54,8 @@ #define CONFIG_SYS_AT91_MAIN_CLOCK 12000000 /* 12 MHz crystal */ #define CONFIG_SYS_HZ 1000 +#define CONFIG_SYS_TEXT_BASE 0x23f00000 + #define CONFIG_ARM926EJS 1 /* This is an ARM926EJS Core */ #define CONFIG_ARCH_CPU_INIT #undef CONFIG_USE_IRQ /* we don't need IRQ/FIQ stuff */ diff --git a/include/configs/versatile.h b/include/configs/versatile.h index 45d8434..4f70ec9 100644 --- a/include/configs/versatile.h +++ b/include/configs/versatile.h @@ -39,6 +39,8 @@ #define CONFIG_VERSATILE 1 /* in Versatile Platform Board */ #define CONFIG_ARCH_VERSATILE 1 /* Specifically, a Versatile */ +#define CONFIG_SYS_TEXT_BASE 0x01000000 + #ifndef CONFIG_ARCH_VERSATILE_AB /* AB */ #define CONFIG_ARCH_VERSATILE_PB /* Versatile PB is default */ #endif diff --git a/include/configs/voiceblue.h b/include/configs/voiceblue.h index c258030..0ff4f94 100644 --- a/include/configs/voiceblue.h +++ b/include/configs/voiceblue.h @@ -30,6 +30,8 @@ #define CONFIG_OMAP 1 /* in a TI OMAP core */ #define CONFIG_OMAP1510 1 /* which is in a 5910 */ +#define CONFIG_SYS_TEXT_BASE 0x13FD0000 + /* Input clock of PLL */ #define CONFIG_SYS_CLK_FREQ 150000000 /* 150MHz */ #define CONFIG_XTAL_FREQ 12000000 /* 12MHz */ diff --git a/include/configs/zylonite.h b/include/configs/zylonite.h index 1e03b01..9c1aa07 100644 --- a/include/configs/zylonite.h +++ b/include/configs/zylonite.h @@ -38,6 +38,8 @@ #define CONFIG_CPU_PXA320 #define CONFIG_ZYLONITE 1 /* Zylonite board */ +#define CONFIG_SYS_TEXT_BASE 0xa3008000 + /* #define CONFIG_LCD 1 */ #ifdef CONFIG_LCD #define CONFIG_SHARP_LM8V31