diff mbox series

[ovs-dev,5/5] Documentation: Remove experimental tag for PMD ALB.

Message ID 20211216124105.333749-6-ktraynor@redhat.com
State Superseded
Headers show
Series PMD docs and ALB status | expand

Checks

Context Check Description
ovsrobot/apply-robot success apply and check: success
ovsrobot/github-robot-_Build_and_Test success github build: passed

Commit Message

Kevin Traynor Dec. 16, 2021, 12:41 p.m. UTC
PMD Auto Load Balance was introduced as an experimental feature in OVS
2.11. It is used to detect that the Rx to PMD assignments are no longer
balanced and it would be better to reassign.

It is disabled by default, and can be enabled with:
$ ovs-vsctl set open_vswitch . other_config:pmd-auto-lb="true"

Signed-off-by: Kevin Traynor <ktraynor@redhat.com>
---
 Documentation/topics/dpdk/pmd.rst | 4 ++--
 NEWS                              | 2 ++
 2 files changed, 4 insertions(+), 2 deletions(-)

Comments

Pai G, Sunil Dec. 16, 2021, 2:34 p.m. UTC | #1
Hi Kevin, 

Minor nit in commit message below.

> PMD Auto Load Balance was introduced as an experimental feature in OVS
> 2.11. It is used to detect that the Rx to PMD assignments are no longer
Rxq to PMD assignments ?

> balanced and it would be better to reassign.

<snipped>

Rest LGTM.

With that fixed , feel free to add my ack.
Acked-by: Sunil Pai G <sunil.pai.g@intel.com>
Kevin Traynor Dec. 17, 2021, 2:47 p.m. UTC | #2
On 16/12/2021 14:34, Pai G, Sunil wrote:
> Hi Kevin,
> 
> Minor nit in commit message below.
> 
>> PMD Auto Load Balance was introduced as an experimental feature in OVS
>> 2.11. It is used to detect that the Rx to PMD assignments are no longer
> Rxq to PMD assignments ?
> 

ah, yes - will fix that. Thanks.

>> balanced and it would be better to reassign.
> 
> <snipped>
> 
> Rest LGTM.
> 
> With that fixed , feel free to add my ack.
> Acked-by: Sunil Pai G <sunil.pai.g@intel.com>
>
diff mbox series

Patch

diff --git a/Documentation/topics/dpdk/pmd.rst b/Documentation/topics/dpdk/pmd.rst
index 4a31bcb4d..62975941e 100644
--- a/Documentation/topics/dpdk/pmd.rst
+++ b/Documentation/topics/dpdk/pmd.rst
@@ -237,6 +237,6 @@  The Rx queues may be assigned to the cores in the following order::
     Core 8: P1Q0 |
 
-PMD Automatic Load Balance (experimental)
------------------------------------------
+PMD Automatic Load Balance
+--------------------------
 
 Cycle or utilization based allocation of Rx queues to PMDs is done to give an
diff --git a/NEWS b/NEWS
index c47a6be50..6e51a1703 100644
--- a/NEWS
+++ b/NEWS
@@ -25,4 +25,6 @@  Post-v2.16.0
        now dp_hash.  Previously this was limited to 64 buckets.  This change
        is mainly for the benefit of OVN load balancing configurations.
+   - Userspace datapath:
+     * Removed experimental tag for PMD Auto Load Balance.