Show patches with: Archived = No       |   126685 patches
« 1 2 ... 7 8 91266 1267 »
Patch Series A/F/R/T S/W/F Date Submitter Delegate State
[Committed] IBM Z: Fix -munaligned-symbols [Committed] IBM Z: Fix -munaligned-symbols - - - - --- 2024-03-14 Andreas Krebbel New
[v2] match.pd: Only merge truncation with conversion for -fno-signed-zeros [v2] match.pd: Only merge truncation with conversion for -fno-signed-zeros - - - - --- 2024-03-14 Joe Ramsay New
aarch64: Fix TImode __sync_*_compare_and_exchange expansion with LSE [PR114310] aarch64: Fix TImode __sync_*_compare_and_exchange expansion with LSE [PR114310] - - - - --- 2024-03-14 Jakub Jelinek New
bitint: Fix up adjustment of large/huge _BitInt arguments of returns_twice calls [PR113466] bitint: Fix up adjustment of large/huge _BitInt arguments of returns_twice calls [PR113466] - - - - --- 2024-03-14 Jakub Jelinek New
gimple-iterator: Some gsi_safe_insert_*before fixes gimple-iterator: Some gsi_safe_insert_*before fixes - - - - --- 2024-03-14 Jakub Jelinek New
[v2,12/12] extend.texi: Add subsections for type- and expression-yielding traits [01/11] gcc/doc/extend.texi: Sort built-in traits alphabetically - - - - --- 2024-03-14 Ken Matsui New
[v2,11/12] extend.texi: Add documentation for __remove_pointer Untitled series #399010 - - - - --- 2024-03-14 Ken Matsui New
[v2,10/12] extend.texi: Add documentation for __is_scoped_enum Untitled series #399002 - - - - --- 2024-03-14 Ken Matsui New
[v2,09/12] extend.texi: Add documentation for __is_reference Untitled series #399009 - - - - --- 2024-03-14 Ken Matsui New
[v2,08/12] extend.texi: Add documentation for __is_object Untitled series #399007 - - - - --- 2024-03-14 Ken Matsui New
[v2,07/12] extend.texi: Add documentation for __is_member_pointer Untitled series #399008 - - - - --- 2024-03-14 Ken Matsui New
[v2,06/12] extend.texi: Add documentation for __is_member_object_pointer Untitled series #399005 - - - - --- 2024-03-14 Ken Matsui New
[v2,05/12] extend.texi: Add documentation for __is_member_function_pointer Untitled series #399003 - - - - --- 2024-03-14 Ken Matsui New
[v2,04/12] extend.texi: Add documentation for __is_function Untitled series #399011 - - - - --- 2024-03-14 Ken Matsui New
[v2,03/12] extend.texi: Add documentation for __is_bounded_array Untitled series #399004 - - - - --- 2024-03-14 Ken Matsui New
[v2,02/12] extend.texi: Add documentation for __is_array Untitled series #399012 - - - - --- 2024-03-14 Ken Matsui New
[v2,01/12] extend.texi: Arrange pre-existing built-in traits alphabetically [v2,01/12] extend.texi: Arrange pre-existing built-in traits alphabetically - - - - --- 2024-03-14 Ken Matsui New
i386[stv]: Handle REG_EH_REGION note i386[stv]: Handle REG_EH_REGION note - - - - --- 2024-03-14 Liu, Hongtao New
[v2] LoongArch: Remove masking process for operand 3 of xvpermi.q. [v2] LoongArch: Remove masking process for operand 3 of xvpermi.q. - - - - --- 2024-03-14 Chenghui Pan New
[committed] libstdc++: Move test error_category to global scope [committed] libstdc++: Move test error_category to global scope - - - - --- 2024-03-13 Jonathan Wakely New
[committed] libstdc++: Improve documentation on debugging with libstdc++ [committed] libstdc++: Improve documentation on debugging with libstdc++ - - - - --- 2024-03-13 Jonathan Wakely New
[V2,1/1] rs6000: Load store fusion for rs6000 target using common infrastructure [V2,1/1] rs6000: Load store fusion for rs6000 target using common infrastructure - - - - --- 2024-03-13 Ajit Agarwal New
[wwwdocs] Reverse development timeline graph [wwwdocs] Reverse development timeline graph - - - - --- 2024-03-13 Jonathan Wakely New
[V2,0/1] rs6000: Load store fusion for rs6000 target using common infrastructure - - - - --- 2024-03-13 Ajit Agarwal New
[V1,1/1] rs6000: Load store fusion for rs6000 target using common infrastructure [V1,1/1] rs6000: Load store fusion for rs6000 target using common infrastructure - - - - --- 2024-03-13 Ajit Agarwal New
match.pd: Only merge truncation with conversion for -fno-signed-zeros match.pd: Only merge truncation with conversion for -fno-signed-zeros - - - - --- 2024-03-13 Joe Ramsay New
[V1,0/1] rs6000: Load store fusion for rs6000 target using common infrastructure - - - - --- 2024-03-13 Ajit Agarwal New
[3/3] bpf: Corrected index computation when present with unnamed struct fields [1/3] bpf: Fix CO-RE field expression builtins - - - - --- 2024-03-13 Cupertino Miranda New
[2/3] bpf: Fix access string default for CO-RE type based relocations [1/3] bpf: Fix CO-RE field expression builtins - - - - --- 2024-03-13 Cupertino Miranda New
[1/3] bpf: Fix CO-RE field expression builtins [1/3] bpf: Fix CO-RE field expression builtins - - - - --- 2024-03-13 Cupertino Miranda New
[comitted] testsuite: target test for short_enums [comitted] testsuite: target test for short_enums - - - - --- 2024-03-13 Torbjorn SVENSSON New
tree-ssa-sink: Improve code sinking pass tree-ssa-sink: Improve code sinking pass - - - - --- 2024-03-13 Ajit Agarwal New
LoongArch: Remove unused and incorrect "sge<u>_<X:mode><GPR:mode>" define_insn LoongArch: Remove unused and incorrect "sge<u>_<X:mode><GPR:mode>" define_insn - - - - --- 2024-03-13 Xi Ruoyao New
[v2,testsuite] Fixup dg-options in {gcc, g++, gfortran}.dg/vect.exp tests [v2,testsuite] Fixup dg-options in {gcc, g++, gfortran}.dg/vect.exp tests - - - - --- 2024-03-13 Maxim Kuvyrkov New
[testsuite] Fixup dg-options in {gcc, g++, gfortran}.dg/vect.exp tests [testsuite] Fixup dg-options in {gcc, g++, gfortran}.dg/vect.exp tests - - - - --- 2024-03-13 Maxim Kuvyrkov New
store-merging: Match bswap64 on 32-bit targets with bswapsi2 [PR114319] store-merging: Match bswap64 on 32-bit targets with bswapsi2 [PR114319] - - - - --- 2024-03-13 Jakub Jelinek New
[V3,3/4] ree: Improve ree pass. Untitled series #398861 - - - - --- 2024-03-13 Ajit Agarwal New
[V12] : Improve code sinking pass [V12] : Improve code sinking pass - - - - --- 2024-03-13 Ajit Agarwal New
bitint: Fix up lowering of bitfield loads/stores [PR114313] bitint: Fix up lowering of bitfield loads/stores [PR114313] - - - - --- 2024-03-13 Jakub Jelinek New
[committed] asan, v2: Fix ICE during instrumentation of returns_twice calls [PR112709] [committed] asan, v2: Fix ICE during instrumentation of returns_twice calls [PR112709] - - - - --- 2024-03-13 Jakub Jelinek New
No rule to make target '../libbacktrace/libbacktrace.la', needed by 'libgo.la'. [PR106472] No rule to make target '../libbacktrace/libbacktrace.la', needed by 'libgo.la'. [PR106472] - - - - --- 2024-03-13 Дилян Палаузов New
Fortran: fix IS_CONTIGUOUS for polymorphic dummy arguments [PR114001] Fortran: fix IS_CONTIGUOUS for polymorphic dummy arguments [PR114001] - - - - --- 2024-03-12 Harald Anlauf New
[V2] RISC-V: Update test expectancies with recent scheduler change [V2] RISC-V: Update test expectancies with recent scheduler change - - - - --- 2024-03-12 Edwin Lu New
libquadmath: printf: fix misaligned access on args libquadmath: printf: fix misaligned access on args - - - - --- 2024-03-12 Simon Chopin New
[v3] combine: Fix ICE in try_combine on pr112494.c [PR112560] [v3] combine: Fix ICE in try_combine on pr112494.c [PR112560] - - - - --- 2024-03-12 Uros Bizjak New
Summary: [PATCH][committed]AArch64: Do not allow SIMD clones with simdlen 1 [PR113552][GCC 13/12/11… Summary: [PATCH][committed]AArch64: Do not allow SIMD clones with simdlen 1 [PR113552][GCC 13/12/11… - - - - --- 2024-03-12 Tamar Christina New
[v2] c++: ICE with temporary of class type in array DMI [PR109966] [v2] c++: ICE with temporary of class type in array DMI [PR109966] - - - - --- 2024-03-12 Marek Polacek New
[committed] libgomp/libgomp.texi: Fix @node order in @menu [committed] libgomp/libgomp.texi: Fix @node order in @menu - - - - --- 2024-03-12 Tobias Burnus New
gimple-iterator, ubsan, v3: Fix ICE during instrumentation of returns_twice calls [PR112709] gimple-iterator, ubsan, v3: Fix ICE during instrumentation of returns_twice calls [PR112709] - - - - --- 2024-03-12 Jakub Jelinek New
tree-optimization/114121 - chrec_fold_{plus,multiply} and recursion tree-optimization/114121 - chrec_fold_{plus,multiply} and recursion - - - - --- 2024-03-12 Richard Biener New
aarch64: Fix SCHEDULER_IDENT for Cortex-A520 aarch64: Fix SCHEDULER_IDENT for Cortex-A520 - - - - --- 2024-03-12 Richard Ball New
aarch64: Fix SCHEDULER_IDENT for Cortex-A510 aarch64: Fix SCHEDULER_IDENT for Cortex-A510 - - - - --- 2024-03-12 Richard Ball New
[v2] c++: Support target-specific nodes with streaming [PR98645,PR111224] [v2] c++: Support target-specific nodes with streaming [PR98645,PR111224] - - 1 - --- 2024-03-12 Nathaniel Shead New
sanitizer: [PR110027] Align asan_vec[0] to MAX (alignb, ASAN_RED_ZONE_SIZE) sanitizer: [PR110027] Align asan_vec[0] to MAX (alignb, ASAN_RED_ZONE_SIZE) - - - - --- 2024-03-12 Liu, Hongtao New
[COMMITED] MAINTAINERS: Fix order in Write After Aproval [COMMITED] MAINTAINERS: Fix order in Write After Aproval - - - - --- 2024-03-12 Filip Kastl New
[v4] LoongArch: Add support for TLS descriptors [v4] LoongArch: Add support for TLS descriptors - - - - --- 2024-03-12 mengqinggang New
asan: Fix ICE during instrumentation of returns_twice calls [PR112709] asan: Fix ICE during instrumentation of returns_twice calls [PR112709] - - - - --- 2024-03-12 Jakub Jelinek New
gimple-iterator, ubsan: Fix ICE during instrumentation of returns_twice calls [PR112709] gimple-iterator, ubsan: Fix ICE during instrumentation of returns_twice calls [PR112709] - - - - --- 2024-03-12 Jakub Jelinek New
asan: Instrument <retval> stores in callees rather than callers [PR112709] asan: Instrument <retval> stores in callees rather than callers [PR112709] - - - - --- 2024-03-12 Jakub Jelinek New
strlen: Fix another spot that can create invalid ranges [PR114293] strlen: Fix another spot that can create invalid ranges [PR114293] - - - - --- 2024-03-12 Jakub Jelinek New
[v1] RISC-V: Fix some code style issue(s) in riscv-c.cc [NFC] [v1] RISC-V: Fix some code style issue(s) in riscv-c.cc [NFC] - - - - --- 2024-03-12 Li, Pan2 New
[v3] RISC-V: Introduce gcc attribute riscv_rvv_vector_bits for RVV [v3] RISC-V: Introduce gcc attribute riscv_rvv_vector_bits for RVV - - - - --- 2024-03-12 Li, Pan2 New
[Committed] Reject -fno-multiflags [PR114314] [Committed] Reject -fno-multiflags [PR114314] - - - - --- 2024-03-12 Andrew Pinski New
[v1] LoongArch: Remove masking process for operand 3 of xvpermi.q. [v1] LoongArch: Remove masking process for operand 3 of xvpermi.q. - - - - --- 2024-03-12 Chenghui Pan New
[v3] LoongArch: Add support for TLS descriptors. [v3] LoongArch: Add support for TLS descriptors. - - - - --- 2024-03-12 mengqinggang New
[11/12/13,only] build error: libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.cpp:180:… Untitled series #398659 - - - - --- 2024-03-12 Chris Packham New
[v1] libstdc++: Optimize removal from unique assoc containers [PR112934] [v1] libstdc++: Optimize removal from unique assoc containers [PR112934] - - - - --- 2024-03-11 Barnabás Pőcze New
c++: ICE with temporary of class type in array DMI [PR109966] c++: ICE with temporary of class type in array DMI [PR109966] - - - - --- 2024-03-11 Marek Polacek New
Fix PR ipa/113996 Fix PR ipa/113996 - - - - --- 2024-03-11 Eric Botcazou New
Fortran: handle procedure pointer component in DT array [PR110826] Fortran: handle procedure pointer component in DT array [PR110826] - - - - --- 2024-03-11 Harald Anlauf New
c++: recalculating local specs via build_extra_args [PR114303] c++: recalculating local specs via build_extra_args [PR114303] - - - - --- 2024-03-11 Patrick Palka New
tree-optimization/114297 - SLP reduction with early break fix tree-optimization/114297 - SLP reduction with early break fix - - - - --- 2024-03-11 Richard Biener New
testsuite: vect: Require vect_hw_misalign in gcc.dg/vect/vect-cost-model-1.c etc. [PR98238] testsuite: vect: Require vect_hw_misalign in gcc.dg/vect/vect-cost-model-1.c etc. [PR98238] - - - - --- 2024-03-11 Rainer Orth New
testsuite: vect: Require vect_perm in several tests [PR114071, PR113557, PR96109] testsuite: vect: Require vect_perm in several tests [PR114071, PR113557, PR96109] - - - - --- 2024-03-11 Rainer Orth New
[v2] testsuite: xfail test for short_enums [v2] testsuite: xfail test for short_enums - - - - --- 2024-03-11 Torbjorn SVENSSON New
middle-end/114299 - missing error recovery from gimplify failure middle-end/114299 - missing error recovery from gimplify failure - - - - --- 2024-03-11 Richard Biener New
OpenMP/Fortran: Fix defaultmap(none) issue with dummy procedures [PR114283] OpenMP/Fortran: Fix defaultmap(none) issue with dummy procedures [PR114283] - - - - --- 2024-03-11 Tobias Burnus New
Fix PR debug/113519 and debug/113777 Fix PR debug/113519 and debug/113777 - - - - --- 2024-03-11 Eric Botcazou New
bitint, v2: Avoid rewriting large/huge _BitInt vars into SSA after bitint lowering [PR114278] bitint, v2: Avoid rewriting large/huge _BitInt vars into SSA after bitint lowering [PR114278] - - - - --- 2024-03-11 Jakub Jelinek New
[v1,3/3] LoongArch: Combine UNITS_PER_FP_REG and UNITS_PER_FPREG macros. LoongArch: Cleanup unused/redundant codes. - - - - --- 2024-03-11 Chenghui Pan New
[v1,2/3] LoongArch: Change loongarch_expand_vec_cmp()'s return type from bool to void. LoongArch: Cleanup unused/redundant codes. - - - - --- 2024-03-11 Chenghui Pan New
[v1,1/3] LoongArch: Remove unused/useless definitions. LoongArch: Cleanup unused/redundant codes. - - - - --- 2024-03-11 Chenghui Pan New
[RFC] combine: Don't truncate const operand of AND if it's no benefits [RFC] combine: Don't truncate const operand of AND if it's no benefits - - - - --- 2024-03-11 HAO CHEN GUI New
[COMMITTED] Fold: Fix up merge_truthop_with_opposite_arm for NaNs [PR95351] [COMMITTED] Fold: Fix up merge_truthop_with_opposite_arm for NaNs [PR95351] - - - - --- 2024-03-11 Andrew Pinski New
[v2] Fortran: use name of array component in runtime error message [PR30802] [v2] Fortran: use name of array component in runtime error message [PR30802] - - - - --- 2024-03-10 Harald Anlauf New
[committed] d: Fix -fpreview=in ICEs with forward referenced parameter [PR112285] [committed] d: Fix -fpreview=in ICEs with forward referenced parameter [PR112285] - - - - --- 2024-03-10 Iain Buclaw New
[committed,PR,tree-optimization/110199] Simplify MIN/MAX more often [committed,PR,tree-optimization/110199] Simplify MIN/MAX more often - - - - --- 2024-03-10 Jeff Law New
testsuite: Define _POSIX_C_SOURCE for test testsuite: Define _POSIX_C_SOURCE for test - - - - --- 2024-03-10 Torbjorn SVENSSON New
c++/modules: Support target-specific nodes with streaming [PR111224] c++/modules: Support target-specific nodes with streaming [PR111224] - - - - --- 2024-03-10 Nathaniel Shead New
Reverting recent adjustment to expected output of sh port tests Reverting recent adjustment to expected output of sh port tests - - - - --- 2024-03-10 Jeff Law New
[committed,target/102250] Document python requirement for risc-v [committed,target/102250] Document python requirement for risc-v - - - - --- 2024-03-10 Jeff Law New
[v2] VECT: Fix ICE for vectorizable LD/ST when both len and store are enabled [v2] VECT: Fix ICE for vectorizable LD/ST when both len and store are enabled - - - - --- 2024-03-10 Li, Pan2 New
[committed,PR,target/111362] Fix compare-debug issue with mode switching [committed,PR,target/111362] Fix compare-debug issue with mode switching - - - - --- 2024-03-10 Jeff Law New
[tree-prof] skip if errors were seen [PR113681] [tree-prof] skip if errors were seen [PR113681] - - - - --- 2024-03-09 Alexandre Oliva New
[strub] improve handling of indirected volatile parms [PR112938] [strub] improve handling of indirected volatile parms [PR112938] - - - - --- 2024-03-09 Alexandre Oliva New
[avr,applied] Add some more cost computation [avr,applied] Add some more cost computation - - - - --- 2024-03-09 Georg-Johann Lay New
testsuite: xfail test for arm testsuite: xfail test for arm - - - - --- 2024-03-09 Torbjorn SVENSSON New
[committed] i386: Regenerate i386.opt.urls [committed] i386: Regenerate i386.opt.urls - - - - --- 2024-03-09 Jakub Jelinek New
fwprop: Restore previous behavior for forward propagation of RTL with MEMs [PR114284] fwprop: Restore previous behavior for forward propagation of RTL with MEMs [PR114284] - - - - --- 2024-03-09 Jakub Jelinek New
bitint: Avoid rewriting large/huge _BitInt vars into SSA after bitint lowering [PR114278] bitint: Avoid rewriting large/huge _BitInt vars into SSA after bitint lowering [PR114278] - - - - --- 2024-03-09 Jakub Jelinek New
« 1 2 ... 7 8 91266 1267 »