From patchwork Mon Sep 18 03:48:56 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: Portia Stephens X-Patchwork-Id: 1835847 Return-Path: X-Original-To: incoming@patchwork.ozlabs.org Delivered-To: patchwork-incoming@legolas.ozlabs.org Authentication-Results: legolas.ozlabs.org; spf=pass (sender SPF authorized) smtp.mailfrom=lists.ubuntu.com (client-ip=185.125.189.65; helo=lists.ubuntu.com; envelope-from=kernel-team-bounces@lists.ubuntu.com; receiver=patchwork.ozlabs.org) Received: from lists.ubuntu.com (lists.ubuntu.com [185.125.189.65]) (using TLSv1.2 with cipher ECDHE-ECDSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by legolas.ozlabs.org (Postfix) with ESMTPS id 4RprQh1Kd7z1yhR for ; Mon, 18 Sep 2023 13:49:48 +1000 (AEST) Received: from localhost ([127.0.0.1] helo=lists.ubuntu.com) by lists.ubuntu.com with esmtp (Exim 4.86_2) (envelope-from ) id 1qi5GL-0005IX-Mv; Mon, 18 Sep 2023 03:49:29 +0000 Received: from smtp-relay-internal-1.internal ([10.131.114.114] helo=smtp-relay-internal-1.canonical.com) by lists.ubuntu.com with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.86_2) (envelope-from ) id 1qi5G3-0005Gv-FL for kernel-team@lists.ubuntu.com; Mon, 18 Sep 2023 03:49:11 +0000 Received: from mail-oi1-f197.google.com (mail-oi1-f197.google.com [209.85.167.197]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by smtp-relay-internal-1.canonical.com (Postfix) with ESMTPS id 876E23F0F8 for ; Mon, 18 Sep 2023 03:49:10 +0000 (UTC) Received: by mail-oi1-f197.google.com with SMTP id 5614622812f47-3aa17bcf2bcso6856623b6e.0 for ; Sun, 17 Sep 2023 20:49:10 -0700 (PDT) X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20230601; t=1695008949; x=1695613749; h=content-transfer-encoding:mime-version:references:in-reply-to :message-id:date:subject:to:from:x-gm-message-state:from:to:cc :subject:date:message-id:reply-to; bh=DVCMEX4XRIEJaGSjIfns/U4mAaayIu2YY07BcsK/EnQ=; b=eGb5QqXBTqkuhT8evGwChyep/w6q23i/0dM7GlRvw5LqDi+n5iS1u8x5L5D2mDATNR 1ZWwfE7fgSdXec7cBRgMkBCtVWjGKx9JMn1PlbiCEv1tzx35rwg64Uuh5cEcHuiGeNun LBJ7TGgf9QiChFwIGeaStHUCIuql046H3AWdPBHnctkWf9058IbJLZAEslqYMo98egRB bG8ld8WaPfZj/+vq6PDkJFdwOrGKReokmuyRb++HuitByT4wsB75+gsCDzSM1lkM8W/s BMoQ5SoeelO4QzYJBEzPYwVLwOanE/uHVeuQSBZWza0d/HvlCusKMVMEDRSlPJTDdxMc 9X4g== X-Gm-Message-State: AOJu0YylKcqIalqfI61ilqa9JBgL4yRBuFkK1JGSSrE1U4dvf8/1GSwm R+Vz8LTR+90hFF7KIcopzcQrDRS42K0mA26o0d6Rj9S2EftC1zGUYf5jYvBoRDv+7UnxR8wnzCz J10/YuFKuMBxov3Q/6j644cgrfFUDdv4tQ0PP6knhfF9B0TbSmQ== X-Received: by 2002:aca:1308:0:b0:3a7:5d6e:dcf8 with SMTP id e8-20020aca1308000000b003a75d6edcf8mr8885400oii.39.1695008948256; Sun, 17 Sep 2023 20:49:08 -0700 (PDT) X-Google-Smtp-Source: AGHT+IEXKhAbZB2Ytb43/TqIzdXWkXUd3Z8E9X4YOHydHNEQyX9VJEVXxEXIIm93OBcSaYj6ke/1Eg== X-Received: by 2002:aca:1308:0:b0:3a7:5d6e:dcf8 with SMTP id e8-20020aca1308000000b003a75d6edcf8mr8885361oii.39.1695008946434; Sun, 17 Sep 2023 20:49:06 -0700 (PDT) Received: from portia-desktop.lan ([159.196.41.205]) by smtp.gmail.com with ESMTPSA id k186-20020a6384c3000000b0057816f7ed1asm4678194pgd.67.2023.09.17.20.49.03 (version=TLS1_3 cipher=TLS_AES_256_GCM_SHA384 bits=256/256); Sun, 17 Sep 2023 20:49:05 -0700 (PDT) From: Portia Stephens To: kernel-team@lists.ubuntu.com, portia.stephens@canonical.com Subject: [jammy xilinx-zynqmp 1/2] UBUNTU: ubuntu/staging: Move out of tree Xilinx drivers into staging directory Date: Mon, 18 Sep 2023 13:48:56 +1000 Message-Id: <20230918034857.3157366-2-portia.stephens@canonical.com> X-Mailer: git-send-email 2.34.1 In-Reply-To: <20230918034857.3157366-1-portia.stephens@canonical.com> References: <20230918034857.3157366-1-portia.stephens@canonical.com> MIME-Version: 1.0 X-BeenThere: kernel-team@lists.ubuntu.com X-Mailman-Version: 2.1.20 Precedence: list List-Id: Kernel team discussions List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: kernel-team-bounces@lists.ubuntu.com Sender: "kernel-team" BugLink: https://bugs.launchpad.net/bugs/2036370 (Move experimental ubuntu drivers to staging) These drivers are experimental and should be treated as such. Signed-off-by: Portia Stephens --- ubuntu/Kconfig | 6 +-- ubuntu/Makefile | 22 +-------- ubuntu/staging/Kconfig | 25 ++++++++++ ubuntu/staging/Makefile | 46 +++++++++++++++++++ ubuntu/{ => staging}/mali/Kbuild | 0 ubuntu/{ => staging}/mali/Kconfig | 0 ubuntu/{ => staging}/mali/Makefile | 0 .../mali/common/mali_broadcast.c | 0 .../mali/common/mali_broadcast.h | 0 .../mali/common/mali_control_timer.c | 0 .../mali/common/mali_control_timer.h | 0 ubuntu/{ => staging}/mali/common/mali_dlbu.c | 0 ubuntu/{ => staging}/mali/common/mali_dlbu.h | 0 .../mali/common/mali_dvfs_policy.c | 0 .../mali/common/mali_dvfs_policy.h | 0 .../{ => staging}/mali/common/mali_executor.c | 0 .../{ => staging}/mali/common/mali_executor.h | 0 ubuntu/{ => staging}/mali/common/mali_gp.c | 0 ubuntu/{ => staging}/mali/common/mali_gp.h | 0 .../{ => staging}/mali/common/mali_gp_job.c | 0 .../{ => staging}/mali/common/mali_gp_job.h | 0 ubuntu/{ => staging}/mali/common/mali_group.c | 0 ubuntu/{ => staging}/mali/common/mali_group.h | 0 .../{ => staging}/mali/common/mali_hw_core.c | 0 .../{ => staging}/mali/common/mali_hw_core.h | 0 .../mali/common/mali_kernel_common.h | 0 .../mali/common/mali_kernel_core.c | 0 .../mali/common/mali_kernel_core.h | 0 .../mali/common/mali_kernel_utilization.c | 0 .../mali/common/mali_kernel_utilization.h | 0 .../mali/common/mali_kernel_vsync.c | 0 .../{ => staging}/mali/common/mali_l2_cache.c | 0 .../{ => staging}/mali/common/mali_l2_cache.h | 0 .../mali/common/mali_mem_validation.c | 0 .../mali/common/mali_mem_validation.h | 0 ubuntu/{ => staging}/mali/common/mali_mmu.c | 0 ubuntu/{ => staging}/mali/common/mali_mmu.h | 0 .../mali/common/mali_mmu_page_directory.c | 0 .../mali/common/mali_mmu_page_directory.h | 0 ubuntu/{ => staging}/mali/common/mali_osk.h | 0 .../mali/common/mali_osk_bitops.h | 0 .../{ => staging}/mali/common/mali_osk_list.h | 0 .../{ => staging}/mali/common/mali_osk_mali.h | 0 .../mali/common/mali_osk_profiling.h | 0 .../mali/common/mali_osk_types.h | 0 ubuntu/{ => staging}/mali/common/mali_pm.c | 0 ubuntu/{ => staging}/mali/common/mali_pm.h | 0 .../mali/common/mali_pm_domain.c | 0 .../mali/common/mali_pm_domain.h | 0 .../mali/common/mali_pm_metrics.c | 0 .../mali/common/mali_pm_metrics.h | 0 ubuntu/{ => staging}/mali/common/mali_pmu.c | 0 ubuntu/{ => staging}/mali/common/mali_pmu.h | 0 ubuntu/{ => staging}/mali/common/mali_pp.c | 0 ubuntu/{ => staging}/mali/common/mali_pp.h | 0 .../{ => staging}/mali/common/mali_pp_job.c | 0 .../{ => staging}/mali/common/mali_pp_job.h | 0 .../mali/common/mali_scheduler.c | 0 .../mali/common/mali_scheduler.h | 0 .../mali/common/mali_scheduler_types.h | 0 .../{ => staging}/mali/common/mali_session.c | 0 .../{ => staging}/mali/common/mali_session.h | 0 .../{ => staging}/mali/common/mali_soft_job.c | 0 .../{ => staging}/mali/common/mali_soft_job.h | 0 .../mali/common/mali_spinlock_reentrant.c | 0 .../mali/common/mali_spinlock_reentrant.h | 0 .../{ => staging}/mali/common/mali_timeline.c | 0 .../{ => staging}/mali/common/mali_timeline.h | 0 .../mali/common/mali_timeline_fence_wait.c | 0 .../mali/common/mali_timeline_fence_wait.h | 0 .../mali/common/mali_timeline_sync_fence.c | 0 .../mali/common/mali_timeline_sync_fence.h | 0 ubuntu/{ => staging}/mali/common/mali_ukk.h | 0 .../mali/common/mali_user_settings_db.c | 0 .../mali/common/mali_user_settings_db.h | 0 .../mali/include/linux/mali/mali_utgard.h | 0 .../include/linux/mali/mali_utgard_ioctl.h | 0 .../linux/mali/mali_utgard_profiling_events.h | 0 .../mali/mali_utgard_profiling_gator_api.h | 0 .../include/linux/mali/mali_utgard_uk_types.h | 0 .../linux/license/gpl/mali_kernel_license.h | 0 .../{ => staging}/mali/linux/mali_devfreq.c | 0 .../{ => staging}/mali/linux/mali_devfreq.h | 0 .../mali/linux/mali_device_pause_resume.c | 0 .../{ => staging}/mali/linux/mali_dma_fence.c | 0 .../{ => staging}/mali/linux/mali_dma_fence.h | 0 .../mali/linux/mali_internal_sync.c | 0 .../mali/linux/mali_internal_sync.h | 0 .../mali/linux/mali_kernel_linux.c | 0 .../mali/linux/mali_kernel_linux.h | 0 .../mali/linux/mali_kernel_sysfs.c | 0 .../mali/linux/mali_kernel_sysfs.h | 0 .../mali/linux/mali_linux_trace.h | 0 ubuntu/{ => staging}/mali/linux/mali_memory.c | 0 ubuntu/{ => staging}/mali/linux/mali_memory.h | 0 .../mali/linux/mali_memory_block_alloc.c | 0 .../mali/linux/mali_memory_block_alloc.h | 0 .../mali/linux/mali_memory_cow.c | 0 .../mali/linux/mali_memory_cow.h | 0 .../mali/linux/mali_memory_defer_bind.c | 0 .../mali/linux/mali_memory_defer_bind.h | 0 .../mali/linux/mali_memory_dma_buf.c | 0 .../mali/linux/mali_memory_dma_buf.h | 0 .../mali/linux/mali_memory_external.c | 0 .../mali/linux/mali_memory_external.h | 0 .../mali/linux/mali_memory_manager.c | 0 .../mali/linux/mali_memory_manager.h | 0 .../mali/linux/mali_memory_os_alloc.c | 0 .../mali/linux/mali_memory_os_alloc.h | 0 .../mali/linux/mali_memory_secure.c | 0 .../mali/linux/mali_memory_secure.h | 0 .../mali/linux/mali_memory_swap_alloc.c | 0 .../mali/linux/mali_memory_swap_alloc.h | 0 .../mali/linux/mali_memory_types.h | 0 .../mali/linux/mali_memory_ump.c | 0 .../mali/linux/mali_memory_ump.h | 0 .../mali/linux/mali_memory_util.c | 0 .../mali/linux/mali_memory_util.h | 0 .../mali/linux/mali_memory_virtual.c | 0 .../mali/linux/mali_memory_virtual.h | 0 .../mali/linux/mali_osk_atomics.c | 0 .../mali/linux/mali_osk_bitmap.c | 0 .../{ => staging}/mali/linux/mali_osk_irq.c | 0 .../{ => staging}/mali/linux/mali_osk_locks.c | 0 .../{ => staging}/mali/linux/mali_osk_locks.h | 0 .../mali/linux/mali_osk_low_level_mem.c | 0 .../{ => staging}/mali/linux/mali_osk_mali.c | 0 .../{ => staging}/mali/linux/mali_osk_math.c | 0 .../mali/linux/mali_osk_memory.c | 0 .../{ => staging}/mali/linux/mali_osk_misc.c | 0 .../mali/linux/mali_osk_notification.c | 0 ubuntu/{ => staging}/mali/linux/mali_osk_pm.c | 0 .../mali/linux/mali_osk_profiling.c | 0 .../mali/linux/mali_osk_specific.h | 0 .../{ => staging}/mali/linux/mali_osk_time.c | 0 .../mali/linux/mali_osk_timers.c | 0 .../mali/linux/mali_osk_wait_queue.c | 0 ubuntu/{ => staging}/mali/linux/mali_osk_wq.c | 0 .../mali/linux/mali_pmu_power_up_down.c | 0 .../mali/linux/mali_profiling_events.h | 0 .../mali/linux/mali_profiling_gator_api.h | 0 .../mali/linux/mali_profiling_internal.c | 0 .../mali/linux/mali_profiling_internal.h | 0 ubuntu/{ => staging}/mali/linux/mali_sync.c | 0 ubuntu/{ => staging}/mali/linux/mali_sync.h | 0 .../{ => staging}/mali/linux/mali_uk_types.h | 0 .../{ => staging}/mali/linux/mali_ukk_core.c | 0 ubuntu/{ => staging}/mali/linux/mali_ukk_gp.c | 0 .../{ => staging}/mali/linux/mali_ukk_mem.c | 0 ubuntu/{ => staging}/mali/linux/mali_ukk_pp.c | 0 .../mali/linux/mali_ukk_profiling.c | 0 .../mali/linux/mali_ukk_soft_job.c | 0 .../mali/linux/mali_ukk_timeline.c | 0 .../{ => staging}/mali/linux/mali_ukk_vsync.c | 0 .../mali/linux/mali_ukk_wrappers.h | 0 ubuntu/{ => staging}/mali/platform/arm/arm.c | 0 .../mali/platform/arm/arm_core_scaling.c | 0 .../mali/platform/arm/arm_core_scaling.h | 0 .../mali/platform/arm/juno_opp.c | 0 ubuntu/{ => staging}/mali/readme.txt | 0 .../{ => staging}/mali/regs/mali_200_regs.h | 0 ubuntu/{ => staging}/mali/regs/mali_gp_regs.h | 0 .../mali/timestamp-arm11-cc/mali_timestamp.c | 0 .../mali/timestamp-arm11-cc/mali_timestamp.h | 0 .../mali/timestamp-default/mali_timestamp.c | 0 .../mali/timestamp-default/mali_timestamp.h | 0 .../devicetree/bindings/silabs,si5324.txt | 0 .../devicetree/bindings/ti,dp159.txt | 0 .../devicetree/bindings/xlnx,v-hdmi-rx-ss.txt | 0 .../devicetree/bindings/xlnx,v-hdmi-tx-ss.txt | 0 .../devicetree/bindings/xlnx,vphy.txt | 0 ubuntu/{ => staging}/xilinx-hdmi/Kbuild | 0 ubuntu/{ => staging}/xilinx-hdmi/LICENSE.md | 0 ubuntu/{ => staging}/xilinx-hdmi/Makefile | 0 ubuntu/{ => staging}/xilinx-hdmi/README.md | 0 .../{ => staging}/xilinx-hdmi/hdmi/Makefile | 0 .../hdmi/include/linux/phy/phy-vphy.h | 0 .../{ => staging}/xilinx-hdmi/hdmi/phy-vphy.c | 0 .../xilinx-hdmi/hdmi/phy-xilinx-vphy/aes.c | 0 .../xilinx-hdmi/hdmi/phy-xilinx-vphy/aes256.c | 0 .../xilinx-hdmi/hdmi/phy-xilinx-vphy/aes256.h | 0 .../hdmi/phy-xilinx-vphy/bigdigits.c | 0 .../hdmi/phy-xilinx-vphy/bigdigits.h | 0 .../xilinx-hdmi/hdmi/phy-xilinx-vphy/hmac.c | 0 .../xilinx-hdmi/hdmi/phy-xilinx-vphy/sha1.c | 0 .../xilinx-hdmi/hdmi/phy-xilinx-vphy/sha1.h | 0 .../xilinx-hdmi/hdmi/phy-xilinx-vphy/sha2.c | 0 .../xilinx-hdmi/hdmi/phy-xilinx-vphy/sleep.h | 0 .../xilinx-hdmi/hdmi/phy-xilinx-vphy/xdebug.c | 0 .../xilinx-hdmi/hdmi/phy-xilinx-vphy/xdebug.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_cipher.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_cipher.h | 0 .../phy-xilinx-vphy/xhdcp1x_cipher_intr.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_debug.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_hw.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_intr.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_platform.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_platform.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_port.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_port.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi.h | 0 .../phy-xilinx-vphy/xhdcp1x_port_hdmi_rx.c | 0 .../phy-xilinx-vphy/xhdcp1x_port_hdmi_tx.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_port_intr.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_rx.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_rx.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_selftest.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_sinit.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_tx.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp1x_tx.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_cipher.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_cipher.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_cipher_hw.h | 0 .../phy-xilinx-vphy/xhdcp22_cipher_sinit.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_common.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_mmult.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_mmult.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_mmult_hw.h | 0 .../phy-xilinx-vphy/xhdcp22_mmult_sinit.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_rng.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_rng.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_rng_hw.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_rng_sinit.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_rx.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_rx.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_rx_crypt.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_rx_i.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_rx_sinit.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_tx.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_tx.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_tx_crypt.c | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_tx_i.h | 0 .../hdmi/phy-xilinx-vphy/xhdcp22_tx_sinit.c | 0 .../hdmi/phy-xilinx-vphy/xhdmiphy1.c | 0 .../hdmi/phy-xilinx-vphy/xhdmiphy1.h | 0 .../hdmi/phy-xilinx-vphy/xhdmiphy1_gt.h | 0 .../hdmi/phy-xilinx-vphy/xhdmiphy1_gtye5.c | 0 .../hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi.c | 0 .../hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi.h | 0 .../phy-xilinx-vphy/xhdmiphy1_hdmi_intr.c | 0 .../hdmi/phy-xilinx-vphy/xhdmiphy1_hw.h | 0 .../hdmi/phy-xilinx-vphy/xhdmiphy1_i.c | 0 .../hdmi/phy-xilinx-vphy/xhdmiphy1_i.h | 0 .../hdmi/phy-xilinx-vphy/xhdmiphy1_intr.c | 0 .../hdmi/phy-xilinx-vphy/xhdmiphy1_log.c | 0 .../hdmi/phy-xilinx-vphy/xhdmiphy1_mmcme5.c | 0 .../hdmi/phy-xilinx-vphy/xhdmiphy1_sinit.c | 0 .../hdmi/phy-xilinx-vphy/xil_assert.h | 0 .../xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_io.h | 0 .../hdmi/phy-xilinx-vphy/xil_printf.h | 0 .../hdmi/phy-xilinx-vphy/xil_types.h | 0 .../hdmi/phy-xilinx-vphy/xstatus.h | 0 .../hdmi/phy-xilinx-vphy/xtmrctr.c | 0 .../hdmi/phy-xilinx-vphy/xtmrctr.h | 0 .../hdmi/phy-xilinx-vphy/xtmrctr_i.h | 0 .../hdmi/phy-xilinx-vphy/xtmrctr_intr.c | 0 .../hdmi/phy-xilinx-vphy/xtmrctr_l.c | 0 .../hdmi/phy-xilinx-vphy/xtmrctr_l.h | 0 .../hdmi/phy-xilinx-vphy/xtmrctr_options.c | 0 .../hdmi/phy-xilinx-vphy/xtmrctr_sinit.c | 0 .../hdmi/phy-xilinx-vphy/xv_hdmic.c | 0 .../hdmi/phy-xilinx-vphy/xv_hdmic.h | 0 .../hdmi/phy-xilinx-vphy/xv_hdmic_vsif.c | 0 .../hdmi/phy-xilinx-vphy/xv_hdmic_vsif.h | 0 .../xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc.c | 0 .../xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc.h | 0 .../phy-xilinx-vphy/xvidc_timings_table.c | 0 .../xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy.c | 0 .../xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy.h | 0 .../hdmi/phy-xilinx-vphy/xvphy_gt.h | 0 .../hdmi/phy-xilinx-vphy/xvphy_gthe4.c | 0 .../hdmi/phy-xilinx-vphy/xvphy_hdmi.c | 0 .../hdmi/phy-xilinx-vphy/xvphy_hdmi.h | 0 .../hdmi/phy-xilinx-vphy/xvphy_hdmi_intr.c | 0 .../hdmi/phy-xilinx-vphy/xvphy_hw.h | 0 .../hdmi/phy-xilinx-vphy/xvphy_i.c | 0 .../hdmi/phy-xilinx-vphy/xvphy_i.h | 0 .../hdmi/phy-xilinx-vphy/xvphy_intr.c | 0 .../hdmi/phy-xilinx-vphy/xvphy_log.c | 0 .../hdmi/phy-xilinx-vphy/xvphy_mmcme4.c | 0 .../hdmi/phy-xilinx-vphy/xvphy_sinit.c | 0 .../hdmi/xilinx-hdmi-rx/xv_hdmirx.c | 0 .../hdmi/xilinx-hdmi-rx/xv_hdmirx.h | 0 .../hdmi/xilinx-hdmi-rx/xv_hdmirx_hw.h | 0 .../hdmi/xilinx-hdmi-rx/xv_hdmirx_intr.c | 0 .../hdmi/xilinx-hdmi-rx/xv_hdmirx_sinit.c | 0 .../hdmi/xilinx-hdmi-rx/xv_hdmirxss.c | 0 .../hdmi/xilinx-hdmi-rx/xv_hdmirxss.h | 0 .../xilinx-hdmi-rx/xv_hdmirxss_coreinit.c | 0 .../xilinx-hdmi-rx/xv_hdmirxss_coreinit.h | 0 .../hdmi/xilinx-hdmi-rx/xv_hdmirxss_hdcp.c | 0 .../hdmi/xilinx-hdmi-rx/xv_hdmirxss_log.c | 0 .../hdmi/xilinx-hdmi-tx/xv_hdmitx.c | 0 .../hdmi/xilinx-hdmi-tx/xv_hdmitx.h | 0 .../hdmi/xilinx-hdmi-tx/xv_hdmitx_hw.h | 0 .../hdmi/xilinx-hdmi-tx/xv_hdmitx_intr.c | 0 .../hdmi/xilinx-hdmi-tx/xv_hdmitx_sinit.c | 0 .../hdmi/xilinx-hdmi-tx/xv_hdmitxss.c | 0 .../hdmi/xilinx-hdmi-tx/xv_hdmitxss.h | 0 .../xilinx-hdmi-tx/xv_hdmitxss_coreinit.c | 0 .../xilinx-hdmi-tx/xv_hdmitxss_coreinit.h | 0 .../hdmi/xilinx-hdmi-tx/xv_hdmitxss_hdcp.c | 0 .../hdmi/xilinx-hdmi-tx/xv_hdmitxss_log.c | 0 .../xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc.c | 0 .../xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc.h | 0 .../xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_hw.h | 0 .../hdmi/xilinx-hdmi-tx/xvtc_intr.c | 0 .../hdmi/xilinx-hdmi-tx/xvtc_sinit.c | 0 .../xilinx-hdmi/hdmi/xilinx-hdmirx.c | 0 .../xilinx-hdmi/hdmi/xilinx_drm_hdmi.c | 0 .../xilinx-hdmi/hdmi/xlnx_hdmirx_audio.c | 0 .../xilinx-hdmi/hdmi/xlnx_hdmirx_audio.h | 0 .../xilinx-hdmi/hdmi/xlnx_hdmitx_audio.c | 0 .../xilinx-hdmi/hdmi/xlnx_hdmitx_audio.h | 0 .../{ => staging}/xilinx-hdmi/misc/Makefile | 0 ubuntu/{ => staging}/xilinx-hdmi/misc/dp159.c | 0 .../xilinx-isp-module/LICENSE.md | 0 .../{ => staging}/xilinx-isp-module/Makefile | 0 .../{ => staging}/xilinx-isp-module/README.md | 0 .../xilinx-isp-module/xilinx-isppipeline.c | 0 .../xilinx-isp-module/xilinx-vip.h | 0 .../xilinx-mv-camera-10gige-module/Kconfig | 0 .../xilinx-mv-camera-10gige-module/LICENSE.md | 0 .../xilinx-mv-camera-10gige-module/Makefile | 0 .../xilinx-mv-camera-10gige-module/README.md | 0 .../xilinx-mv-camera-10gige-module/s2imac.h | 0 .../xilinx-mv-camera-10gige-module/s2imac_m.c | 0 .../xilinx-mv-camera-sensor-module/LICENSE.md | 0 .../xilinx-mv-camera-sensor-module/Makefile | 0 .../xilinx-mv-camera-sensor-module/README.md | 0 .../xilinx-mv-camera-sensor-module/imx547.c | 0 .../imx547_mode_tbls.h | 0 ubuntu/{ => staging}/xilinx-vcu/Kbuild | 0 ubuntu/{ => staging}/xilinx-vcu/Kconfig | 0 ubuntu/{ => staging}/xilinx-vcu/LICENSE.md | 0 ubuntu/{ => staging}/xilinx-vcu/Makefile | 0 ubuntu/{ => staging}/xilinx-vcu/README.md | 0 ubuntu/{ => staging}/xilinx-vcu/al5d/Kconfig | 0 ubuntu/{ => staging}/xilinx-vcu/al5d/Makefile | 0 ubuntu/{ => staging}/xilinx-vcu/al5d/al_dec.c | 0 .../xilinx-vcu/al5d/al_dec_ioctl.h | 0 .../xilinx-vcu/al5d/dec_mails_factory.c | 0 .../xilinx-vcu/al5d/dec_mails_factory.h | 0 .../{ => staging}/xilinx-vcu/al5d/dec_user.c | 0 .../{ => staging}/xilinx-vcu/al5d/dec_user.h | 0 ubuntu/{ => staging}/xilinx-vcu/al5e/Kconfig | 0 ubuntu/{ => staging}/xilinx-vcu/al5e/Makefile | 0 ubuntu/{ => staging}/xilinx-vcu/al5e/al_enc.c | 0 .../xilinx-vcu/al5e/al_enc_ioctl.h | 0 .../xilinx-vcu/al5e/enc_feedbacks.h | 0 .../xilinx-vcu/al5e/enc_mails_factory.c | 0 .../xilinx-vcu/al5e/enc_mails_factory.h | 0 .../{ => staging}/xilinx-vcu/al5e/enc_user.c | 0 .../{ => staging}/xilinx-vcu/al5e/enc_user.h | 0 .../{ => staging}/xilinx-vcu/common/Makefile | 0 .../xilinx-vcu/common/al_alloc.c | 0 .../xilinx-vcu/common/al_alloc_ioctl.c | 0 .../xilinx-vcu/common/al_buffers_pool.c | 0 .../{ => staging}/xilinx-vcu/common/al_char.c | 0 .../xilinx-vcu/common/al_codec.c | 0 .../xilinx-vcu/common/al_codec_mails.c | 0 .../xilinx-vcu/common/al_dmabuf.c | 0 .../xilinx-vcu/common/al_group.c | 0 .../xilinx-vcu/common/al_l2_prefetch.c | 0 .../{ => staging}/xilinx-vcu/common/al_list.c | 0 .../{ => staging}/xilinx-vcu/common/al_mail.c | 0 .../xilinx-vcu/common/al_mailbox.c | 0 .../xilinx-vcu/common/al_queue.c | 0 .../{ => staging}/xilinx-vcu/common/al_user.c | 0 .../{ => staging}/xilinx-vcu/common/al_vcu.c | 0 .../xilinx-vcu/common/mcu_interface.c | 0 .../xilinx-vcu/common/mcu_utils.c | 0 .../xilinx-vcu/common/mcu_utils.h | 0 .../{ => staging}/xilinx-vcu/common/xil_clk.c | 0 .../xilinx-vcu/common/xil_l2_prefetch.c | 0 .../xilinx-vcu/device-tree-bindings.txt | 0 .../{ => staging}/xilinx-vcu/dmaproxy/Kconfig | 0 .../xilinx-vcu/dmaproxy/Makefile | 0 .../xilinx-vcu/dmaproxy/dmaproxy.c | 0 .../xilinx-vcu/dmaproxy/dmaproxy.h | 0 .../xilinx-vcu/include/al_alloc.h | 0 .../xilinx-vcu/include/al_alloc_ioctl.h | 0 .../xilinx-vcu/include/al_buffers_pool.h | 0 .../xilinx-vcu/include/al_char.h | 0 .../xilinx-vcu/include/al_codec.h | 0 .../xilinx-vcu/include/al_codec_mails.h | 0 .../xilinx-vcu/include/al_constants.h | 0 .../xilinx-vcu/include/al_dmabuf.h | 0 .../xilinx-vcu/include/al_group.h | 0 .../xilinx-vcu/include/al_ioctl.h | 0 .../xilinx-vcu/include/al_list.h | 0 .../xilinx-vcu/include/al_mail.h | 0 .../xilinx-vcu/include/al_mail_private.h | 0 .../xilinx-vcu/include/al_mailbox.h | 0 .../xilinx-vcu/include/al_queue.h | 0 .../xilinx-vcu/include/al_traces.h | 0 .../xilinx-vcu/include/al_user.h | 0 .../{ => staging}/xilinx-vcu/include/al_vcu.h | 0 .../xilinx-vcu/include/mcu_interface.h | 0 .../include/mcu_interface_private.h | 0 .../xilinx-vcu/include/xil_clk.h | 0 403 files changed, 73 insertions(+), 26 deletions(-) create mode 100644 ubuntu/staging/Kconfig create mode 100644 ubuntu/staging/Makefile rename ubuntu/{ => staging}/mali/Kbuild (100%) rename ubuntu/{ => staging}/mali/Kconfig (100%) rename ubuntu/{ => staging}/mali/Makefile (100%) rename ubuntu/{ => staging}/mali/common/mali_broadcast.c (100%) rename ubuntu/{ => staging}/mali/common/mali_broadcast.h (100%) rename ubuntu/{ => staging}/mali/common/mali_control_timer.c (100%) rename ubuntu/{ => staging}/mali/common/mali_control_timer.h (100%) rename ubuntu/{ => staging}/mali/common/mali_dlbu.c (100%) rename ubuntu/{ => staging}/mali/common/mali_dlbu.h (100%) rename ubuntu/{ => staging}/mali/common/mali_dvfs_policy.c (100%) rename ubuntu/{ => staging}/mali/common/mali_dvfs_policy.h (100%) rename ubuntu/{ => staging}/mali/common/mali_executor.c (100%) rename ubuntu/{ => staging}/mali/common/mali_executor.h (100%) rename ubuntu/{ => staging}/mali/common/mali_gp.c (100%) rename ubuntu/{ => staging}/mali/common/mali_gp.h (100%) rename ubuntu/{ => staging}/mali/common/mali_gp_job.c (100%) rename ubuntu/{ => staging}/mali/common/mali_gp_job.h (100%) rename ubuntu/{ => staging}/mali/common/mali_group.c (100%) rename ubuntu/{ => staging}/mali/common/mali_group.h (100%) rename ubuntu/{ => staging}/mali/common/mali_hw_core.c (100%) rename ubuntu/{ => staging}/mali/common/mali_hw_core.h (100%) rename ubuntu/{ => staging}/mali/common/mali_kernel_common.h (100%) rename ubuntu/{ => staging}/mali/common/mali_kernel_core.c (100%) rename ubuntu/{ => staging}/mali/common/mali_kernel_core.h (100%) rename ubuntu/{ => staging}/mali/common/mali_kernel_utilization.c (100%) rename ubuntu/{ => staging}/mali/common/mali_kernel_utilization.h (100%) rename ubuntu/{ => staging}/mali/common/mali_kernel_vsync.c (100%) rename ubuntu/{ => staging}/mali/common/mali_l2_cache.c (100%) rename ubuntu/{ => staging}/mali/common/mali_l2_cache.h (100%) rename ubuntu/{ => staging}/mali/common/mali_mem_validation.c (100%) rename ubuntu/{ => staging}/mali/common/mali_mem_validation.h (100%) rename ubuntu/{ => staging}/mali/common/mali_mmu.c (100%) rename ubuntu/{ => staging}/mali/common/mali_mmu.h (100%) rename ubuntu/{ => staging}/mali/common/mali_mmu_page_directory.c (100%) rename ubuntu/{ => staging}/mali/common/mali_mmu_page_directory.h (100%) rename ubuntu/{ => staging}/mali/common/mali_osk.h (100%) rename ubuntu/{ => staging}/mali/common/mali_osk_bitops.h (100%) rename ubuntu/{ => staging}/mali/common/mali_osk_list.h (100%) rename ubuntu/{ => staging}/mali/common/mali_osk_mali.h (100%) rename ubuntu/{ => staging}/mali/common/mali_osk_profiling.h (100%) rename ubuntu/{ => staging}/mali/common/mali_osk_types.h (100%) rename ubuntu/{ => staging}/mali/common/mali_pm.c (100%) rename ubuntu/{ => staging}/mali/common/mali_pm.h (100%) rename ubuntu/{ => staging}/mali/common/mali_pm_domain.c (100%) rename ubuntu/{ => staging}/mali/common/mali_pm_domain.h (100%) rename ubuntu/{ => staging}/mali/common/mali_pm_metrics.c (100%) rename ubuntu/{ => staging}/mali/common/mali_pm_metrics.h (100%) rename ubuntu/{ => staging}/mali/common/mali_pmu.c (100%) rename ubuntu/{ => staging}/mali/common/mali_pmu.h (100%) rename ubuntu/{ => staging}/mali/common/mali_pp.c (100%) rename ubuntu/{ => staging}/mali/common/mali_pp.h (100%) rename ubuntu/{ => staging}/mali/common/mali_pp_job.c (100%) rename ubuntu/{ => staging}/mali/common/mali_pp_job.h (100%) rename ubuntu/{ => staging}/mali/common/mali_scheduler.c (100%) rename ubuntu/{ => staging}/mali/common/mali_scheduler.h (100%) rename ubuntu/{ => staging}/mali/common/mali_scheduler_types.h (100%) rename ubuntu/{ => staging}/mali/common/mali_session.c (100%) rename ubuntu/{ => staging}/mali/common/mali_session.h (100%) rename ubuntu/{ => staging}/mali/common/mali_soft_job.c (100%) rename ubuntu/{ => staging}/mali/common/mali_soft_job.h (100%) rename ubuntu/{ => staging}/mali/common/mali_spinlock_reentrant.c (100%) rename ubuntu/{ => staging}/mali/common/mali_spinlock_reentrant.h (100%) rename ubuntu/{ => staging}/mali/common/mali_timeline.c (100%) rename ubuntu/{ => staging}/mali/common/mali_timeline.h (100%) rename ubuntu/{ => staging}/mali/common/mali_timeline_fence_wait.c (100%) rename ubuntu/{ => staging}/mali/common/mali_timeline_fence_wait.h (100%) rename ubuntu/{ => staging}/mali/common/mali_timeline_sync_fence.c (100%) rename ubuntu/{ => staging}/mali/common/mali_timeline_sync_fence.h (100%) rename ubuntu/{ => staging}/mali/common/mali_ukk.h (100%) rename ubuntu/{ => staging}/mali/common/mali_user_settings_db.c (100%) rename ubuntu/{ => staging}/mali/common/mali_user_settings_db.h (100%) rename ubuntu/{ => staging}/mali/include/linux/mali/mali_utgard.h (100%) rename ubuntu/{ => staging}/mali/include/linux/mali/mali_utgard_ioctl.h (100%) rename ubuntu/{ => staging}/mali/include/linux/mali/mali_utgard_profiling_events.h (100%) rename ubuntu/{ => staging}/mali/include/linux/mali/mali_utgard_profiling_gator_api.h (100%) rename ubuntu/{ => staging}/mali/include/linux/mali/mali_utgard_uk_types.h (100%) rename ubuntu/{ => staging}/mali/linux/license/gpl/mali_kernel_license.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_devfreq.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_devfreq.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_device_pause_resume.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_dma_fence.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_dma_fence.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_internal_sync.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_internal_sync.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_kernel_linux.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_kernel_linux.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_kernel_sysfs.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_kernel_sysfs.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_linux_trace.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_block_alloc.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_block_alloc.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_cow.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_cow.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_defer_bind.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_defer_bind.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_dma_buf.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_dma_buf.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_external.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_external.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_manager.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_manager.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_os_alloc.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_os_alloc.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_secure.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_secure.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_swap_alloc.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_swap_alloc.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_types.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_ump.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_ump.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_util.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_util.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_virtual.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_memory_virtual.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_atomics.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_bitmap.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_irq.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_locks.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_locks.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_low_level_mem.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_mali.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_math.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_memory.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_misc.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_notification.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_pm.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_profiling.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_specific.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_time.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_timers.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_wait_queue.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_osk_wq.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_pmu_power_up_down.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_profiling_events.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_profiling_gator_api.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_profiling_internal.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_profiling_internal.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_sync.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_sync.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_uk_types.h (100%) rename ubuntu/{ => staging}/mali/linux/mali_ukk_core.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_ukk_gp.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_ukk_mem.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_ukk_pp.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_ukk_profiling.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_ukk_soft_job.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_ukk_timeline.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_ukk_vsync.c (100%) rename ubuntu/{ => staging}/mali/linux/mali_ukk_wrappers.h (100%) rename ubuntu/{ => staging}/mali/platform/arm/arm.c (100%) rename ubuntu/{ => staging}/mali/platform/arm/arm_core_scaling.c (100%) rename ubuntu/{ => staging}/mali/platform/arm/arm_core_scaling.h (100%) rename ubuntu/{ => staging}/mali/platform/arm/juno_opp.c (100%) rename ubuntu/{ => staging}/mali/readme.txt (100%) rename ubuntu/{ => staging}/mali/regs/mali_200_regs.h (100%) rename ubuntu/{ => staging}/mali/regs/mali_gp_regs.h (100%) rename ubuntu/{ => staging}/mali/timestamp-arm11-cc/mali_timestamp.c (100%) rename ubuntu/{ => staging}/mali/timestamp-arm11-cc/mali_timestamp.h (100%) rename ubuntu/{ => staging}/mali/timestamp-default/mali_timestamp.c (100%) rename ubuntu/{ => staging}/mali/timestamp-default/mali_timestamp.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/Documentation/devicetree/bindings/silabs,si5324.txt (100%) rename ubuntu/{ => staging}/xilinx-hdmi/Documentation/devicetree/bindings/ti,dp159.txt (100%) rename ubuntu/{ => staging}/xilinx-hdmi/Documentation/devicetree/bindings/xlnx,v-hdmi-rx-ss.txt (100%) rename ubuntu/{ => staging}/xilinx-hdmi/Documentation/devicetree/bindings/xlnx,v-hdmi-tx-ss.txt (100%) rename ubuntu/{ => staging}/xilinx-hdmi/Documentation/devicetree/bindings/xlnx,vphy.txt (100%) rename ubuntu/{ => staging}/xilinx-hdmi/Kbuild (100%) rename ubuntu/{ => staging}/xilinx-hdmi/LICENSE.md (100%) rename ubuntu/{ => staging}/xilinx-hdmi/Makefile (100%) rename ubuntu/{ => staging}/xilinx-hdmi/README.md (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/Makefile (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/include/linux/phy/phy-vphy.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-vphy.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/aes.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/aes256.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/aes256.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/bigdigits.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/bigdigits.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/hmac.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/sha1.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/sha1.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/sha2.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/sleep.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xdebug.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xdebug.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_cipher.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_cipher.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_cipher_intr.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_debug.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_hw.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_intr.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_platform.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_platform.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi_rx.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi_tx.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_intr.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_rx.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_rx.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_selftest.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_sinit.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_tx.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_tx.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher_hw.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher_sinit.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_common.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult_hw.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult_sinit.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng_hw.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng_sinit.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx_crypt.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx_i.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx_sinit.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx_crypt.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx_i.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx_sinit.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_gt.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_gtye5.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi_intr.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hw.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_i.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_i.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_intr.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_log.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_mmcme5.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_sinit.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_assert.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_io.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_printf.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_types.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xstatus.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_i.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_intr.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_l.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_l.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_options.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_sinit.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic_vsif.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic_vsif.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc_timings_table.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_gt.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_gthe4.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hdmi.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hdmi.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hdmi_intr.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hw.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_i.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_i.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_intr.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_log.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_mmcme4.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_sinit.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx_hw.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx_intr.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx_sinit.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_coreinit.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_coreinit.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_hdcp.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_log.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx_hw.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx_intr.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx_sinit.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_coreinit.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_coreinit.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_hdcp.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_log.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_hw.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_intr.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_sinit.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx-hdmirx.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xilinx_drm_hdmi.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xlnx_hdmirx_audio.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xlnx_hdmirx_audio.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xlnx_hdmitx_audio.c (100%) rename ubuntu/{ => staging}/xilinx-hdmi/hdmi/xlnx_hdmitx_audio.h (100%) rename ubuntu/{ => staging}/xilinx-hdmi/misc/Makefile (100%) rename ubuntu/{ => staging}/xilinx-hdmi/misc/dp159.c (100%) rename ubuntu/{ => staging}/xilinx-isp-module/LICENSE.md (100%) rename ubuntu/{ => staging}/xilinx-isp-module/Makefile (100%) rename ubuntu/{ => staging}/xilinx-isp-module/README.md (100%) rename ubuntu/{ => staging}/xilinx-isp-module/xilinx-isppipeline.c (100%) rename ubuntu/{ => staging}/xilinx-isp-module/xilinx-vip.h (100%) rename ubuntu/{ => staging}/xilinx-mv-camera-10gige-module/Kconfig (100%) rename ubuntu/{ => staging}/xilinx-mv-camera-10gige-module/LICENSE.md (100%) rename ubuntu/{ => staging}/xilinx-mv-camera-10gige-module/Makefile (100%) rename ubuntu/{ => staging}/xilinx-mv-camera-10gige-module/README.md (100%) rename ubuntu/{ => staging}/xilinx-mv-camera-10gige-module/s2imac.h (100%) rename ubuntu/{ => staging}/xilinx-mv-camera-10gige-module/s2imac_m.c (100%) rename ubuntu/{ => staging}/xilinx-mv-camera-sensor-module/LICENSE.md (100%) rename ubuntu/{ => staging}/xilinx-mv-camera-sensor-module/Makefile (100%) rename ubuntu/{ => staging}/xilinx-mv-camera-sensor-module/README.md (100%) rename ubuntu/{ => staging}/xilinx-mv-camera-sensor-module/imx547.c (100%) rename ubuntu/{ => staging}/xilinx-mv-camera-sensor-module/imx547_mode_tbls.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/Kbuild (100%) rename ubuntu/{ => staging}/xilinx-vcu/Kconfig (100%) rename ubuntu/{ => staging}/xilinx-vcu/LICENSE.md (100%) rename ubuntu/{ => staging}/xilinx-vcu/Makefile (100%) rename ubuntu/{ => staging}/xilinx-vcu/README.md (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5d/Kconfig (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5d/Makefile (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5d/al_dec.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5d/al_dec_ioctl.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5d/dec_mails_factory.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5d/dec_mails_factory.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5d/dec_user.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5d/dec_user.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5e/Kconfig (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5e/Makefile (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5e/al_enc.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5e/al_enc_ioctl.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5e/enc_feedbacks.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5e/enc_mails_factory.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5e/enc_mails_factory.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5e/enc_user.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/al5e/enc_user.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/Makefile (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/al_alloc.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/al_alloc_ioctl.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/al_buffers_pool.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/al_char.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/al_codec.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/al_codec_mails.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/al_dmabuf.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/al_group.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/al_l2_prefetch.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/al_list.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/al_mail.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/al_mailbox.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/al_queue.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/al_user.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/al_vcu.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/mcu_interface.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/mcu_utils.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/mcu_utils.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/xil_clk.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/common/xil_l2_prefetch.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/device-tree-bindings.txt (100%) rename ubuntu/{ => staging}/xilinx-vcu/dmaproxy/Kconfig (100%) rename ubuntu/{ => staging}/xilinx-vcu/dmaproxy/Makefile (100%) rename ubuntu/{ => staging}/xilinx-vcu/dmaproxy/dmaproxy.c (100%) rename ubuntu/{ => staging}/xilinx-vcu/dmaproxy/dmaproxy.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_alloc.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_alloc_ioctl.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_buffers_pool.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_char.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_codec.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_codec_mails.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_constants.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_dmabuf.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_group.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_ioctl.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_list.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_mail.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_mail_private.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_mailbox.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_queue.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_traces.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_user.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/al_vcu.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/mcu_interface.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/mcu_interface_private.h (100%) rename ubuntu/{ => staging}/xilinx-vcu/include/xil_clk.h (100%) diff --git a/ubuntu/Kconfig b/ubuntu/Kconfig index 74493b8c42c2..14e571eb5555 100644 --- a/ubuntu/Kconfig +++ b/ubuntu/Kconfig @@ -13,10 +13,6 @@ config UBUNTU_ODM_DRIVERS # your new entry and maintain the six lines below. # -## -## -## -source "ubuntu/mali/Kconfig" ## ## ## @@ -24,7 +20,7 @@ source "ubuntu/ubuntu-host/Kconfig" ## ## ## -source "ubuntu/xilinx-mv-camera-10gige-module/Kconfig" +source "ubuntu/staging/Kconfig" ## ## ## diff --git a/ubuntu/Makefile b/ubuntu/Makefile index 95a86312e354..d672f5b745d4 100644 --- a/ubuntu/Makefile +++ b/ubuntu/Makefile @@ -15,27 +15,7 @@ ## ## ## -obj-$(CONFIG_MALI400) += mali/ -## -## -## -obj-y += xilinx-vcu/ -## -## -## -obj-y += xilinx-hdmi/ -## -## -## -obj-$(CONFIG_NET_VENDOR_S2I) += xilinx-mv-camera-10gige-module/ -## -## -## -obj-y += xilinx-mv-camera-sensor-module/ -## -## -## -obj-y += xilinx-isp-module/ +obj-y += staging/ ## ## ## diff --git a/ubuntu/staging/Kconfig b/ubuntu/staging/Kconfig new file mode 100644 index 000000000000..beb176ce8f75 --- /dev/null +++ b/ubuntu/staging/Kconfig @@ -0,0 +1,25 @@ +menu "experimental" + +# +# NOTE: to allow drivers to be added and removed without causing merge +# collisions you should add new entries in the middle of the six lines +# of ## at the bottom of the list. Always add three lines of ## above +# your new entry and maintain the six lines below. +# + +## +## +## +source "ubuntu/staging/mali/Kconfig" +## +## +## +source "ubuntu/staging/xilinx-mv-camera-10gige-module/Kconfig" +## +## +## +## +## +## + +endmenu diff --git a/ubuntu/staging/Makefile b/ubuntu/staging/Makefile new file mode 100644 index 000000000000..f8d036fe2e29 --- /dev/null +++ b/ubuntu/staging/Makefile @@ -0,0 +1,46 @@ +# +# Makefile for the Linux kernel ubuntu/staging supplied third-party device +# drivers. +# + +# +# NOTE: to allow drivers to be added and removed without causing merge +# collisions you should add new entries in the middle of the six lines +# of ## at the bottom of the list. Always add three lines of ## above +# your new entry and maintain the six lines below. +# + + +## +## +## +## +## +## +obj-$(CONFIG_MALI400) += mali/ +## +## +## +obj-y += xilinx-vcu/ +## +## +## +obj-y += xilinx-hdmi/ +## +## +## +obj-$(CONFIG_NET_VENDOR_S2I) += xilinx-mv-camera-10gige-module/ +## +## +## +obj-y += xilinx-mv-camera-sensor-module/ +## +## +## +obj-y += xilinx-isp-module/ +## +## +## +## +## +## diff --git a/ubuntu/mali/Kbuild b/ubuntu/staging/mali/Kbuild similarity index 100% rename from ubuntu/mali/Kbuild rename to ubuntu/staging/mali/Kbuild diff --git a/ubuntu/mali/Kconfig b/ubuntu/staging/mali/Kconfig similarity index 100% rename from ubuntu/mali/Kconfig rename to ubuntu/staging/mali/Kconfig diff --git a/ubuntu/mali/Makefile b/ubuntu/staging/mali/Makefile similarity index 100% rename from ubuntu/mali/Makefile rename to ubuntu/staging/mali/Makefile diff --git a/ubuntu/mali/common/mali_broadcast.c b/ubuntu/staging/mali/common/mali_broadcast.c similarity index 100% rename from ubuntu/mali/common/mali_broadcast.c rename to ubuntu/staging/mali/common/mali_broadcast.c diff --git a/ubuntu/mali/common/mali_broadcast.h b/ubuntu/staging/mali/common/mali_broadcast.h similarity index 100% rename from ubuntu/mali/common/mali_broadcast.h rename to ubuntu/staging/mali/common/mali_broadcast.h diff --git a/ubuntu/mali/common/mali_control_timer.c b/ubuntu/staging/mali/common/mali_control_timer.c similarity index 100% rename from ubuntu/mali/common/mali_control_timer.c rename to ubuntu/staging/mali/common/mali_control_timer.c diff --git a/ubuntu/mali/common/mali_control_timer.h b/ubuntu/staging/mali/common/mali_control_timer.h similarity index 100% rename from ubuntu/mali/common/mali_control_timer.h rename to ubuntu/staging/mali/common/mali_control_timer.h diff --git a/ubuntu/mali/common/mali_dlbu.c b/ubuntu/staging/mali/common/mali_dlbu.c similarity index 100% rename from ubuntu/mali/common/mali_dlbu.c rename to ubuntu/staging/mali/common/mali_dlbu.c diff --git a/ubuntu/mali/common/mali_dlbu.h b/ubuntu/staging/mali/common/mali_dlbu.h similarity index 100% rename from ubuntu/mali/common/mali_dlbu.h rename to ubuntu/staging/mali/common/mali_dlbu.h diff --git a/ubuntu/mali/common/mali_dvfs_policy.c b/ubuntu/staging/mali/common/mali_dvfs_policy.c similarity index 100% rename from ubuntu/mali/common/mali_dvfs_policy.c rename to ubuntu/staging/mali/common/mali_dvfs_policy.c diff --git a/ubuntu/mali/common/mali_dvfs_policy.h b/ubuntu/staging/mali/common/mali_dvfs_policy.h similarity index 100% rename from ubuntu/mali/common/mali_dvfs_policy.h rename to ubuntu/staging/mali/common/mali_dvfs_policy.h diff --git a/ubuntu/mali/common/mali_executor.c b/ubuntu/staging/mali/common/mali_executor.c similarity index 100% rename from ubuntu/mali/common/mali_executor.c rename to ubuntu/staging/mali/common/mali_executor.c diff --git a/ubuntu/mali/common/mali_executor.h b/ubuntu/staging/mali/common/mali_executor.h similarity index 100% rename from ubuntu/mali/common/mali_executor.h rename to ubuntu/staging/mali/common/mali_executor.h diff --git a/ubuntu/mali/common/mali_gp.c b/ubuntu/staging/mali/common/mali_gp.c similarity index 100% rename from ubuntu/mali/common/mali_gp.c rename to ubuntu/staging/mali/common/mali_gp.c diff --git a/ubuntu/mali/common/mali_gp.h b/ubuntu/staging/mali/common/mali_gp.h similarity index 100% rename from ubuntu/mali/common/mali_gp.h rename to ubuntu/staging/mali/common/mali_gp.h diff --git a/ubuntu/mali/common/mali_gp_job.c b/ubuntu/staging/mali/common/mali_gp_job.c similarity index 100% rename from ubuntu/mali/common/mali_gp_job.c rename to ubuntu/staging/mali/common/mali_gp_job.c diff --git a/ubuntu/mali/common/mali_gp_job.h b/ubuntu/staging/mali/common/mali_gp_job.h similarity index 100% rename from ubuntu/mali/common/mali_gp_job.h rename to ubuntu/staging/mali/common/mali_gp_job.h diff --git a/ubuntu/mali/common/mali_group.c b/ubuntu/staging/mali/common/mali_group.c similarity index 100% rename from ubuntu/mali/common/mali_group.c rename to ubuntu/staging/mali/common/mali_group.c diff --git a/ubuntu/mali/common/mali_group.h b/ubuntu/staging/mali/common/mali_group.h similarity index 100% rename from ubuntu/mali/common/mali_group.h rename to ubuntu/staging/mali/common/mali_group.h diff --git a/ubuntu/mali/common/mali_hw_core.c b/ubuntu/staging/mali/common/mali_hw_core.c similarity index 100% rename from ubuntu/mali/common/mali_hw_core.c rename to ubuntu/staging/mali/common/mali_hw_core.c diff --git a/ubuntu/mali/common/mali_hw_core.h b/ubuntu/staging/mali/common/mali_hw_core.h similarity index 100% rename from ubuntu/mali/common/mali_hw_core.h rename to ubuntu/staging/mali/common/mali_hw_core.h diff --git a/ubuntu/mali/common/mali_kernel_common.h b/ubuntu/staging/mali/common/mali_kernel_common.h similarity index 100% rename from ubuntu/mali/common/mali_kernel_common.h rename to ubuntu/staging/mali/common/mali_kernel_common.h diff --git a/ubuntu/mali/common/mali_kernel_core.c b/ubuntu/staging/mali/common/mali_kernel_core.c similarity index 100% rename from ubuntu/mali/common/mali_kernel_core.c rename to ubuntu/staging/mali/common/mali_kernel_core.c diff --git a/ubuntu/mali/common/mali_kernel_core.h b/ubuntu/staging/mali/common/mali_kernel_core.h similarity index 100% rename from ubuntu/mali/common/mali_kernel_core.h rename to ubuntu/staging/mali/common/mali_kernel_core.h diff --git a/ubuntu/mali/common/mali_kernel_utilization.c b/ubuntu/staging/mali/common/mali_kernel_utilization.c similarity index 100% rename from ubuntu/mali/common/mali_kernel_utilization.c rename to ubuntu/staging/mali/common/mali_kernel_utilization.c diff --git a/ubuntu/mali/common/mali_kernel_utilization.h b/ubuntu/staging/mali/common/mali_kernel_utilization.h similarity index 100% rename from ubuntu/mali/common/mali_kernel_utilization.h rename to ubuntu/staging/mali/common/mali_kernel_utilization.h diff --git a/ubuntu/mali/common/mali_kernel_vsync.c b/ubuntu/staging/mali/common/mali_kernel_vsync.c similarity index 100% rename from ubuntu/mali/common/mali_kernel_vsync.c rename to ubuntu/staging/mali/common/mali_kernel_vsync.c diff --git a/ubuntu/mali/common/mali_l2_cache.c b/ubuntu/staging/mali/common/mali_l2_cache.c similarity index 100% rename from ubuntu/mali/common/mali_l2_cache.c rename to ubuntu/staging/mali/common/mali_l2_cache.c diff --git a/ubuntu/mali/common/mali_l2_cache.h b/ubuntu/staging/mali/common/mali_l2_cache.h similarity index 100% rename from ubuntu/mali/common/mali_l2_cache.h rename to ubuntu/staging/mali/common/mali_l2_cache.h diff --git a/ubuntu/mali/common/mali_mem_validation.c b/ubuntu/staging/mali/common/mali_mem_validation.c similarity index 100% rename from ubuntu/mali/common/mali_mem_validation.c rename to ubuntu/staging/mali/common/mali_mem_validation.c diff --git a/ubuntu/mali/common/mali_mem_validation.h b/ubuntu/staging/mali/common/mali_mem_validation.h similarity index 100% rename from ubuntu/mali/common/mali_mem_validation.h rename to ubuntu/staging/mali/common/mali_mem_validation.h diff --git a/ubuntu/mali/common/mali_mmu.c b/ubuntu/staging/mali/common/mali_mmu.c similarity index 100% rename from ubuntu/mali/common/mali_mmu.c rename to ubuntu/staging/mali/common/mali_mmu.c diff --git a/ubuntu/mali/common/mali_mmu.h b/ubuntu/staging/mali/common/mali_mmu.h similarity index 100% rename from ubuntu/mali/common/mali_mmu.h rename to ubuntu/staging/mali/common/mali_mmu.h diff --git a/ubuntu/mali/common/mali_mmu_page_directory.c b/ubuntu/staging/mali/common/mali_mmu_page_directory.c similarity index 100% rename from ubuntu/mali/common/mali_mmu_page_directory.c rename to ubuntu/staging/mali/common/mali_mmu_page_directory.c diff --git a/ubuntu/mali/common/mali_mmu_page_directory.h b/ubuntu/staging/mali/common/mali_mmu_page_directory.h similarity index 100% rename from ubuntu/mali/common/mali_mmu_page_directory.h rename to ubuntu/staging/mali/common/mali_mmu_page_directory.h diff --git a/ubuntu/mali/common/mali_osk.h b/ubuntu/staging/mali/common/mali_osk.h similarity index 100% rename from ubuntu/mali/common/mali_osk.h rename to ubuntu/staging/mali/common/mali_osk.h diff --git a/ubuntu/mali/common/mali_osk_bitops.h b/ubuntu/staging/mali/common/mali_osk_bitops.h similarity index 100% rename from ubuntu/mali/common/mali_osk_bitops.h rename to ubuntu/staging/mali/common/mali_osk_bitops.h diff --git a/ubuntu/mali/common/mali_osk_list.h b/ubuntu/staging/mali/common/mali_osk_list.h similarity index 100% rename from ubuntu/mali/common/mali_osk_list.h rename to ubuntu/staging/mali/common/mali_osk_list.h diff --git a/ubuntu/mali/common/mali_osk_mali.h b/ubuntu/staging/mali/common/mali_osk_mali.h similarity index 100% rename from ubuntu/mali/common/mali_osk_mali.h rename to ubuntu/staging/mali/common/mali_osk_mali.h diff --git a/ubuntu/mali/common/mali_osk_profiling.h b/ubuntu/staging/mali/common/mali_osk_profiling.h similarity index 100% rename from ubuntu/mali/common/mali_osk_profiling.h rename to ubuntu/staging/mali/common/mali_osk_profiling.h diff --git a/ubuntu/mali/common/mali_osk_types.h b/ubuntu/staging/mali/common/mali_osk_types.h similarity index 100% rename from ubuntu/mali/common/mali_osk_types.h rename to ubuntu/staging/mali/common/mali_osk_types.h diff --git a/ubuntu/mali/common/mali_pm.c b/ubuntu/staging/mali/common/mali_pm.c similarity index 100% rename from ubuntu/mali/common/mali_pm.c rename to ubuntu/staging/mali/common/mali_pm.c diff --git a/ubuntu/mali/common/mali_pm.h b/ubuntu/staging/mali/common/mali_pm.h similarity index 100% rename from ubuntu/mali/common/mali_pm.h rename to ubuntu/staging/mali/common/mali_pm.h diff --git a/ubuntu/mali/common/mali_pm_domain.c b/ubuntu/staging/mali/common/mali_pm_domain.c similarity index 100% rename from ubuntu/mali/common/mali_pm_domain.c rename to ubuntu/staging/mali/common/mali_pm_domain.c diff --git a/ubuntu/mali/common/mali_pm_domain.h b/ubuntu/staging/mali/common/mali_pm_domain.h similarity index 100% rename from ubuntu/mali/common/mali_pm_domain.h rename to ubuntu/staging/mali/common/mali_pm_domain.h diff --git a/ubuntu/mali/common/mali_pm_metrics.c b/ubuntu/staging/mali/common/mali_pm_metrics.c similarity index 100% rename from ubuntu/mali/common/mali_pm_metrics.c rename to ubuntu/staging/mali/common/mali_pm_metrics.c diff --git a/ubuntu/mali/common/mali_pm_metrics.h b/ubuntu/staging/mali/common/mali_pm_metrics.h similarity index 100% rename from ubuntu/mali/common/mali_pm_metrics.h rename to ubuntu/staging/mali/common/mali_pm_metrics.h diff --git a/ubuntu/mali/common/mali_pmu.c b/ubuntu/staging/mali/common/mali_pmu.c similarity index 100% rename from ubuntu/mali/common/mali_pmu.c rename to ubuntu/staging/mali/common/mali_pmu.c diff --git a/ubuntu/mali/common/mali_pmu.h b/ubuntu/staging/mali/common/mali_pmu.h similarity index 100% rename from ubuntu/mali/common/mali_pmu.h rename to ubuntu/staging/mali/common/mali_pmu.h diff --git a/ubuntu/mali/common/mali_pp.c b/ubuntu/staging/mali/common/mali_pp.c similarity index 100% rename from ubuntu/mali/common/mali_pp.c rename to ubuntu/staging/mali/common/mali_pp.c diff --git a/ubuntu/mali/common/mali_pp.h b/ubuntu/staging/mali/common/mali_pp.h similarity index 100% rename from ubuntu/mali/common/mali_pp.h rename to ubuntu/staging/mali/common/mali_pp.h diff --git a/ubuntu/mali/common/mali_pp_job.c b/ubuntu/staging/mali/common/mali_pp_job.c similarity index 100% rename from ubuntu/mali/common/mali_pp_job.c rename to ubuntu/staging/mali/common/mali_pp_job.c diff --git a/ubuntu/mali/common/mali_pp_job.h b/ubuntu/staging/mali/common/mali_pp_job.h similarity index 100% rename from ubuntu/mali/common/mali_pp_job.h rename to ubuntu/staging/mali/common/mali_pp_job.h diff --git a/ubuntu/mali/common/mali_scheduler.c b/ubuntu/staging/mali/common/mali_scheduler.c similarity index 100% rename from ubuntu/mali/common/mali_scheduler.c rename to ubuntu/staging/mali/common/mali_scheduler.c diff --git a/ubuntu/mali/common/mali_scheduler.h b/ubuntu/staging/mali/common/mali_scheduler.h similarity index 100% rename from ubuntu/mali/common/mali_scheduler.h rename to ubuntu/staging/mali/common/mali_scheduler.h diff --git a/ubuntu/mali/common/mali_scheduler_types.h b/ubuntu/staging/mali/common/mali_scheduler_types.h similarity index 100% rename from ubuntu/mali/common/mali_scheduler_types.h rename to ubuntu/staging/mali/common/mali_scheduler_types.h diff --git a/ubuntu/mali/common/mali_session.c b/ubuntu/staging/mali/common/mali_session.c similarity index 100% rename from ubuntu/mali/common/mali_session.c rename to ubuntu/staging/mali/common/mali_session.c diff --git a/ubuntu/mali/common/mali_session.h b/ubuntu/staging/mali/common/mali_session.h similarity index 100% rename from ubuntu/mali/common/mali_session.h rename to ubuntu/staging/mali/common/mali_session.h diff --git a/ubuntu/mali/common/mali_soft_job.c b/ubuntu/staging/mali/common/mali_soft_job.c similarity index 100% rename from ubuntu/mali/common/mali_soft_job.c rename to ubuntu/staging/mali/common/mali_soft_job.c diff --git a/ubuntu/mali/common/mali_soft_job.h b/ubuntu/staging/mali/common/mali_soft_job.h similarity index 100% rename from ubuntu/mali/common/mali_soft_job.h rename to ubuntu/staging/mali/common/mali_soft_job.h diff --git a/ubuntu/mali/common/mali_spinlock_reentrant.c b/ubuntu/staging/mali/common/mali_spinlock_reentrant.c similarity index 100% rename from ubuntu/mali/common/mali_spinlock_reentrant.c rename to ubuntu/staging/mali/common/mali_spinlock_reentrant.c diff --git a/ubuntu/mali/common/mali_spinlock_reentrant.h b/ubuntu/staging/mali/common/mali_spinlock_reentrant.h similarity index 100% rename from ubuntu/mali/common/mali_spinlock_reentrant.h rename to ubuntu/staging/mali/common/mali_spinlock_reentrant.h diff --git a/ubuntu/mali/common/mali_timeline.c b/ubuntu/staging/mali/common/mali_timeline.c similarity index 100% rename from ubuntu/mali/common/mali_timeline.c rename to ubuntu/staging/mali/common/mali_timeline.c diff --git a/ubuntu/mali/common/mali_timeline.h b/ubuntu/staging/mali/common/mali_timeline.h similarity index 100% rename from ubuntu/mali/common/mali_timeline.h rename to ubuntu/staging/mali/common/mali_timeline.h diff --git a/ubuntu/mali/common/mali_timeline_fence_wait.c b/ubuntu/staging/mali/common/mali_timeline_fence_wait.c similarity index 100% rename from ubuntu/mali/common/mali_timeline_fence_wait.c rename to ubuntu/staging/mali/common/mali_timeline_fence_wait.c diff --git a/ubuntu/mali/common/mali_timeline_fence_wait.h b/ubuntu/staging/mali/common/mali_timeline_fence_wait.h similarity index 100% rename from ubuntu/mali/common/mali_timeline_fence_wait.h rename to ubuntu/staging/mali/common/mali_timeline_fence_wait.h diff --git a/ubuntu/mali/common/mali_timeline_sync_fence.c b/ubuntu/staging/mali/common/mali_timeline_sync_fence.c similarity index 100% rename from ubuntu/mali/common/mali_timeline_sync_fence.c rename to ubuntu/staging/mali/common/mali_timeline_sync_fence.c diff --git a/ubuntu/mali/common/mali_timeline_sync_fence.h b/ubuntu/staging/mali/common/mali_timeline_sync_fence.h similarity index 100% rename from ubuntu/mali/common/mali_timeline_sync_fence.h rename to ubuntu/staging/mali/common/mali_timeline_sync_fence.h diff --git a/ubuntu/mali/common/mali_ukk.h b/ubuntu/staging/mali/common/mali_ukk.h similarity index 100% rename from ubuntu/mali/common/mali_ukk.h rename to ubuntu/staging/mali/common/mali_ukk.h diff --git a/ubuntu/mali/common/mali_user_settings_db.c b/ubuntu/staging/mali/common/mali_user_settings_db.c similarity index 100% rename from ubuntu/mali/common/mali_user_settings_db.c rename to ubuntu/staging/mali/common/mali_user_settings_db.c diff --git a/ubuntu/mali/common/mali_user_settings_db.h b/ubuntu/staging/mali/common/mali_user_settings_db.h similarity index 100% rename from ubuntu/mali/common/mali_user_settings_db.h rename to ubuntu/staging/mali/common/mali_user_settings_db.h diff --git a/ubuntu/mali/include/linux/mali/mali_utgard.h b/ubuntu/staging/mali/include/linux/mali/mali_utgard.h similarity index 100% rename from ubuntu/mali/include/linux/mali/mali_utgard.h rename to ubuntu/staging/mali/include/linux/mali/mali_utgard.h diff --git a/ubuntu/mali/include/linux/mali/mali_utgard_ioctl.h b/ubuntu/staging/mali/include/linux/mali/mali_utgard_ioctl.h similarity index 100% rename from ubuntu/mali/include/linux/mali/mali_utgard_ioctl.h rename to ubuntu/staging/mali/include/linux/mali/mali_utgard_ioctl.h diff --git a/ubuntu/mali/include/linux/mali/mali_utgard_profiling_events.h b/ubuntu/staging/mali/include/linux/mali/mali_utgard_profiling_events.h similarity index 100% rename from ubuntu/mali/include/linux/mali/mali_utgard_profiling_events.h rename to ubuntu/staging/mali/include/linux/mali/mali_utgard_profiling_events.h diff --git a/ubuntu/mali/include/linux/mali/mali_utgard_profiling_gator_api.h b/ubuntu/staging/mali/include/linux/mali/mali_utgard_profiling_gator_api.h similarity index 100% rename from ubuntu/mali/include/linux/mali/mali_utgard_profiling_gator_api.h rename to ubuntu/staging/mali/include/linux/mali/mali_utgard_profiling_gator_api.h diff --git a/ubuntu/mali/include/linux/mali/mali_utgard_uk_types.h b/ubuntu/staging/mali/include/linux/mali/mali_utgard_uk_types.h similarity index 100% rename from ubuntu/mali/include/linux/mali/mali_utgard_uk_types.h rename to ubuntu/staging/mali/include/linux/mali/mali_utgard_uk_types.h diff --git a/ubuntu/mali/linux/license/gpl/mali_kernel_license.h b/ubuntu/staging/mali/linux/license/gpl/mali_kernel_license.h similarity index 100% rename from ubuntu/mali/linux/license/gpl/mali_kernel_license.h rename to ubuntu/staging/mali/linux/license/gpl/mali_kernel_license.h diff --git a/ubuntu/mali/linux/mali_devfreq.c b/ubuntu/staging/mali/linux/mali_devfreq.c similarity index 100% rename from ubuntu/mali/linux/mali_devfreq.c rename to ubuntu/staging/mali/linux/mali_devfreq.c diff --git a/ubuntu/mali/linux/mali_devfreq.h b/ubuntu/staging/mali/linux/mali_devfreq.h similarity index 100% rename from ubuntu/mali/linux/mali_devfreq.h rename to ubuntu/staging/mali/linux/mali_devfreq.h diff --git a/ubuntu/mali/linux/mali_device_pause_resume.c b/ubuntu/staging/mali/linux/mali_device_pause_resume.c similarity index 100% rename from ubuntu/mali/linux/mali_device_pause_resume.c rename to ubuntu/staging/mali/linux/mali_device_pause_resume.c diff --git a/ubuntu/mali/linux/mali_dma_fence.c b/ubuntu/staging/mali/linux/mali_dma_fence.c similarity index 100% rename from ubuntu/mali/linux/mali_dma_fence.c rename to ubuntu/staging/mali/linux/mali_dma_fence.c diff --git a/ubuntu/mali/linux/mali_dma_fence.h b/ubuntu/staging/mali/linux/mali_dma_fence.h similarity index 100% rename from ubuntu/mali/linux/mali_dma_fence.h rename to ubuntu/staging/mali/linux/mali_dma_fence.h diff --git a/ubuntu/mali/linux/mali_internal_sync.c b/ubuntu/staging/mali/linux/mali_internal_sync.c similarity index 100% rename from ubuntu/mali/linux/mali_internal_sync.c rename to ubuntu/staging/mali/linux/mali_internal_sync.c diff --git a/ubuntu/mali/linux/mali_internal_sync.h b/ubuntu/staging/mali/linux/mali_internal_sync.h similarity index 100% rename from ubuntu/mali/linux/mali_internal_sync.h rename to ubuntu/staging/mali/linux/mali_internal_sync.h diff --git a/ubuntu/mali/linux/mali_kernel_linux.c b/ubuntu/staging/mali/linux/mali_kernel_linux.c similarity index 100% rename from ubuntu/mali/linux/mali_kernel_linux.c rename to ubuntu/staging/mali/linux/mali_kernel_linux.c diff --git a/ubuntu/mali/linux/mali_kernel_linux.h b/ubuntu/staging/mali/linux/mali_kernel_linux.h similarity index 100% rename from ubuntu/mali/linux/mali_kernel_linux.h rename to ubuntu/staging/mali/linux/mali_kernel_linux.h diff --git a/ubuntu/mali/linux/mali_kernel_sysfs.c b/ubuntu/staging/mali/linux/mali_kernel_sysfs.c similarity index 100% rename from ubuntu/mali/linux/mali_kernel_sysfs.c rename to ubuntu/staging/mali/linux/mali_kernel_sysfs.c diff --git a/ubuntu/mali/linux/mali_kernel_sysfs.h b/ubuntu/staging/mali/linux/mali_kernel_sysfs.h similarity index 100% rename from ubuntu/mali/linux/mali_kernel_sysfs.h rename to ubuntu/staging/mali/linux/mali_kernel_sysfs.h diff --git a/ubuntu/mali/linux/mali_linux_trace.h b/ubuntu/staging/mali/linux/mali_linux_trace.h similarity index 100% rename from ubuntu/mali/linux/mali_linux_trace.h rename to ubuntu/staging/mali/linux/mali_linux_trace.h diff --git a/ubuntu/mali/linux/mali_memory.c b/ubuntu/staging/mali/linux/mali_memory.c similarity index 100% rename from ubuntu/mali/linux/mali_memory.c rename to ubuntu/staging/mali/linux/mali_memory.c diff --git a/ubuntu/mali/linux/mali_memory.h b/ubuntu/staging/mali/linux/mali_memory.h similarity index 100% rename from ubuntu/mali/linux/mali_memory.h rename to ubuntu/staging/mali/linux/mali_memory.h diff --git a/ubuntu/mali/linux/mali_memory_block_alloc.c b/ubuntu/staging/mali/linux/mali_memory_block_alloc.c similarity index 100% rename from ubuntu/mali/linux/mali_memory_block_alloc.c rename to ubuntu/staging/mali/linux/mali_memory_block_alloc.c diff --git a/ubuntu/mali/linux/mali_memory_block_alloc.h b/ubuntu/staging/mali/linux/mali_memory_block_alloc.h similarity index 100% rename from ubuntu/mali/linux/mali_memory_block_alloc.h rename to ubuntu/staging/mali/linux/mali_memory_block_alloc.h diff --git a/ubuntu/mali/linux/mali_memory_cow.c b/ubuntu/staging/mali/linux/mali_memory_cow.c similarity index 100% rename from ubuntu/mali/linux/mali_memory_cow.c rename to ubuntu/staging/mali/linux/mali_memory_cow.c diff --git a/ubuntu/mali/linux/mali_memory_cow.h b/ubuntu/staging/mali/linux/mali_memory_cow.h similarity index 100% rename from ubuntu/mali/linux/mali_memory_cow.h rename to ubuntu/staging/mali/linux/mali_memory_cow.h diff --git a/ubuntu/mali/linux/mali_memory_defer_bind.c b/ubuntu/staging/mali/linux/mali_memory_defer_bind.c similarity index 100% rename from ubuntu/mali/linux/mali_memory_defer_bind.c rename to ubuntu/staging/mali/linux/mali_memory_defer_bind.c diff --git a/ubuntu/mali/linux/mali_memory_defer_bind.h b/ubuntu/staging/mali/linux/mali_memory_defer_bind.h similarity index 100% rename from ubuntu/mali/linux/mali_memory_defer_bind.h rename to ubuntu/staging/mali/linux/mali_memory_defer_bind.h diff --git a/ubuntu/mali/linux/mali_memory_dma_buf.c b/ubuntu/staging/mali/linux/mali_memory_dma_buf.c similarity index 100% rename from ubuntu/mali/linux/mali_memory_dma_buf.c rename to ubuntu/staging/mali/linux/mali_memory_dma_buf.c diff --git a/ubuntu/mali/linux/mali_memory_dma_buf.h b/ubuntu/staging/mali/linux/mali_memory_dma_buf.h similarity index 100% rename from ubuntu/mali/linux/mali_memory_dma_buf.h rename to ubuntu/staging/mali/linux/mali_memory_dma_buf.h diff --git a/ubuntu/mali/linux/mali_memory_external.c b/ubuntu/staging/mali/linux/mali_memory_external.c similarity index 100% rename from ubuntu/mali/linux/mali_memory_external.c rename to ubuntu/staging/mali/linux/mali_memory_external.c diff --git a/ubuntu/mali/linux/mali_memory_external.h b/ubuntu/staging/mali/linux/mali_memory_external.h similarity index 100% rename from ubuntu/mali/linux/mali_memory_external.h rename to ubuntu/staging/mali/linux/mali_memory_external.h diff --git a/ubuntu/mali/linux/mali_memory_manager.c b/ubuntu/staging/mali/linux/mali_memory_manager.c similarity index 100% rename from ubuntu/mali/linux/mali_memory_manager.c rename to ubuntu/staging/mali/linux/mali_memory_manager.c diff --git a/ubuntu/mali/linux/mali_memory_manager.h b/ubuntu/staging/mali/linux/mali_memory_manager.h similarity index 100% rename from ubuntu/mali/linux/mali_memory_manager.h rename to ubuntu/staging/mali/linux/mali_memory_manager.h diff --git a/ubuntu/mali/linux/mali_memory_os_alloc.c b/ubuntu/staging/mali/linux/mali_memory_os_alloc.c similarity index 100% rename from ubuntu/mali/linux/mali_memory_os_alloc.c rename to ubuntu/staging/mali/linux/mali_memory_os_alloc.c diff --git a/ubuntu/mali/linux/mali_memory_os_alloc.h b/ubuntu/staging/mali/linux/mali_memory_os_alloc.h similarity index 100% rename from ubuntu/mali/linux/mali_memory_os_alloc.h rename to ubuntu/staging/mali/linux/mali_memory_os_alloc.h diff --git a/ubuntu/mali/linux/mali_memory_secure.c b/ubuntu/staging/mali/linux/mali_memory_secure.c similarity index 100% rename from ubuntu/mali/linux/mali_memory_secure.c rename to ubuntu/staging/mali/linux/mali_memory_secure.c diff --git a/ubuntu/mali/linux/mali_memory_secure.h b/ubuntu/staging/mali/linux/mali_memory_secure.h similarity index 100% rename from ubuntu/mali/linux/mali_memory_secure.h rename to ubuntu/staging/mali/linux/mali_memory_secure.h diff --git a/ubuntu/mali/linux/mali_memory_swap_alloc.c b/ubuntu/staging/mali/linux/mali_memory_swap_alloc.c similarity index 100% rename from ubuntu/mali/linux/mali_memory_swap_alloc.c rename to ubuntu/staging/mali/linux/mali_memory_swap_alloc.c diff --git a/ubuntu/mali/linux/mali_memory_swap_alloc.h b/ubuntu/staging/mali/linux/mali_memory_swap_alloc.h similarity index 100% rename from ubuntu/mali/linux/mali_memory_swap_alloc.h rename to ubuntu/staging/mali/linux/mali_memory_swap_alloc.h diff --git a/ubuntu/mali/linux/mali_memory_types.h b/ubuntu/staging/mali/linux/mali_memory_types.h similarity index 100% rename from ubuntu/mali/linux/mali_memory_types.h rename to ubuntu/staging/mali/linux/mali_memory_types.h diff --git a/ubuntu/mali/linux/mali_memory_ump.c b/ubuntu/staging/mali/linux/mali_memory_ump.c similarity index 100% rename from ubuntu/mali/linux/mali_memory_ump.c rename to ubuntu/staging/mali/linux/mali_memory_ump.c diff --git a/ubuntu/mali/linux/mali_memory_ump.h b/ubuntu/staging/mali/linux/mali_memory_ump.h similarity index 100% rename from ubuntu/mali/linux/mali_memory_ump.h rename to ubuntu/staging/mali/linux/mali_memory_ump.h diff --git a/ubuntu/mali/linux/mali_memory_util.c b/ubuntu/staging/mali/linux/mali_memory_util.c similarity index 100% rename from ubuntu/mali/linux/mali_memory_util.c rename to ubuntu/staging/mali/linux/mali_memory_util.c diff --git a/ubuntu/mali/linux/mali_memory_util.h b/ubuntu/staging/mali/linux/mali_memory_util.h similarity index 100% rename from ubuntu/mali/linux/mali_memory_util.h rename to ubuntu/staging/mali/linux/mali_memory_util.h diff --git a/ubuntu/mali/linux/mali_memory_virtual.c b/ubuntu/staging/mali/linux/mali_memory_virtual.c similarity index 100% rename from ubuntu/mali/linux/mali_memory_virtual.c rename to ubuntu/staging/mali/linux/mali_memory_virtual.c diff --git a/ubuntu/mali/linux/mali_memory_virtual.h b/ubuntu/staging/mali/linux/mali_memory_virtual.h similarity index 100% rename from ubuntu/mali/linux/mali_memory_virtual.h rename to ubuntu/staging/mali/linux/mali_memory_virtual.h diff --git a/ubuntu/mali/linux/mali_osk_atomics.c b/ubuntu/staging/mali/linux/mali_osk_atomics.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_atomics.c rename to ubuntu/staging/mali/linux/mali_osk_atomics.c diff --git a/ubuntu/mali/linux/mali_osk_bitmap.c b/ubuntu/staging/mali/linux/mali_osk_bitmap.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_bitmap.c rename to ubuntu/staging/mali/linux/mali_osk_bitmap.c diff --git a/ubuntu/mali/linux/mali_osk_irq.c b/ubuntu/staging/mali/linux/mali_osk_irq.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_irq.c rename to ubuntu/staging/mali/linux/mali_osk_irq.c diff --git a/ubuntu/mali/linux/mali_osk_locks.c b/ubuntu/staging/mali/linux/mali_osk_locks.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_locks.c rename to ubuntu/staging/mali/linux/mali_osk_locks.c diff --git a/ubuntu/mali/linux/mali_osk_locks.h b/ubuntu/staging/mali/linux/mali_osk_locks.h similarity index 100% rename from ubuntu/mali/linux/mali_osk_locks.h rename to ubuntu/staging/mali/linux/mali_osk_locks.h diff --git a/ubuntu/mali/linux/mali_osk_low_level_mem.c b/ubuntu/staging/mali/linux/mali_osk_low_level_mem.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_low_level_mem.c rename to ubuntu/staging/mali/linux/mali_osk_low_level_mem.c diff --git a/ubuntu/mali/linux/mali_osk_mali.c b/ubuntu/staging/mali/linux/mali_osk_mali.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_mali.c rename to ubuntu/staging/mali/linux/mali_osk_mali.c diff --git a/ubuntu/mali/linux/mali_osk_math.c b/ubuntu/staging/mali/linux/mali_osk_math.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_math.c rename to ubuntu/staging/mali/linux/mali_osk_math.c diff --git a/ubuntu/mali/linux/mali_osk_memory.c b/ubuntu/staging/mali/linux/mali_osk_memory.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_memory.c rename to ubuntu/staging/mali/linux/mali_osk_memory.c diff --git a/ubuntu/mali/linux/mali_osk_misc.c b/ubuntu/staging/mali/linux/mali_osk_misc.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_misc.c rename to ubuntu/staging/mali/linux/mali_osk_misc.c diff --git a/ubuntu/mali/linux/mali_osk_notification.c b/ubuntu/staging/mali/linux/mali_osk_notification.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_notification.c rename to ubuntu/staging/mali/linux/mali_osk_notification.c diff --git a/ubuntu/mali/linux/mali_osk_pm.c b/ubuntu/staging/mali/linux/mali_osk_pm.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_pm.c rename to ubuntu/staging/mali/linux/mali_osk_pm.c diff --git a/ubuntu/mali/linux/mali_osk_profiling.c b/ubuntu/staging/mali/linux/mali_osk_profiling.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_profiling.c rename to ubuntu/staging/mali/linux/mali_osk_profiling.c diff --git a/ubuntu/mali/linux/mali_osk_specific.h b/ubuntu/staging/mali/linux/mali_osk_specific.h similarity index 100% rename from ubuntu/mali/linux/mali_osk_specific.h rename to ubuntu/staging/mali/linux/mali_osk_specific.h diff --git a/ubuntu/mali/linux/mali_osk_time.c b/ubuntu/staging/mali/linux/mali_osk_time.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_time.c rename to ubuntu/staging/mali/linux/mali_osk_time.c diff --git a/ubuntu/mali/linux/mali_osk_timers.c b/ubuntu/staging/mali/linux/mali_osk_timers.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_timers.c rename to ubuntu/staging/mali/linux/mali_osk_timers.c diff --git a/ubuntu/mali/linux/mali_osk_wait_queue.c b/ubuntu/staging/mali/linux/mali_osk_wait_queue.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_wait_queue.c rename to ubuntu/staging/mali/linux/mali_osk_wait_queue.c diff --git a/ubuntu/mali/linux/mali_osk_wq.c b/ubuntu/staging/mali/linux/mali_osk_wq.c similarity index 100% rename from ubuntu/mali/linux/mali_osk_wq.c rename to ubuntu/staging/mali/linux/mali_osk_wq.c diff --git a/ubuntu/mali/linux/mali_pmu_power_up_down.c b/ubuntu/staging/mali/linux/mali_pmu_power_up_down.c similarity index 100% rename from ubuntu/mali/linux/mali_pmu_power_up_down.c rename to ubuntu/staging/mali/linux/mali_pmu_power_up_down.c diff --git a/ubuntu/mali/linux/mali_profiling_events.h b/ubuntu/staging/mali/linux/mali_profiling_events.h similarity index 100% rename from ubuntu/mali/linux/mali_profiling_events.h rename to ubuntu/staging/mali/linux/mali_profiling_events.h diff --git a/ubuntu/mali/linux/mali_profiling_gator_api.h b/ubuntu/staging/mali/linux/mali_profiling_gator_api.h similarity index 100% rename from ubuntu/mali/linux/mali_profiling_gator_api.h rename to ubuntu/staging/mali/linux/mali_profiling_gator_api.h diff --git a/ubuntu/mali/linux/mali_profiling_internal.c b/ubuntu/staging/mali/linux/mali_profiling_internal.c similarity index 100% rename from ubuntu/mali/linux/mali_profiling_internal.c rename to ubuntu/staging/mali/linux/mali_profiling_internal.c diff --git a/ubuntu/mali/linux/mali_profiling_internal.h b/ubuntu/staging/mali/linux/mali_profiling_internal.h similarity index 100% rename from ubuntu/mali/linux/mali_profiling_internal.h rename to ubuntu/staging/mali/linux/mali_profiling_internal.h diff --git a/ubuntu/mali/linux/mali_sync.c b/ubuntu/staging/mali/linux/mali_sync.c similarity index 100% rename from ubuntu/mali/linux/mali_sync.c rename to ubuntu/staging/mali/linux/mali_sync.c diff --git a/ubuntu/mali/linux/mali_sync.h b/ubuntu/staging/mali/linux/mali_sync.h similarity index 100% rename from ubuntu/mali/linux/mali_sync.h rename to ubuntu/staging/mali/linux/mali_sync.h diff --git a/ubuntu/mali/linux/mali_uk_types.h b/ubuntu/staging/mali/linux/mali_uk_types.h similarity index 100% rename from ubuntu/mali/linux/mali_uk_types.h rename to ubuntu/staging/mali/linux/mali_uk_types.h diff --git a/ubuntu/mali/linux/mali_ukk_core.c b/ubuntu/staging/mali/linux/mali_ukk_core.c similarity index 100% rename from ubuntu/mali/linux/mali_ukk_core.c rename to ubuntu/staging/mali/linux/mali_ukk_core.c diff --git a/ubuntu/mali/linux/mali_ukk_gp.c b/ubuntu/staging/mali/linux/mali_ukk_gp.c similarity index 100% rename from ubuntu/mali/linux/mali_ukk_gp.c rename to ubuntu/staging/mali/linux/mali_ukk_gp.c diff --git a/ubuntu/mali/linux/mali_ukk_mem.c b/ubuntu/staging/mali/linux/mali_ukk_mem.c similarity index 100% rename from ubuntu/mali/linux/mali_ukk_mem.c rename to ubuntu/staging/mali/linux/mali_ukk_mem.c diff --git a/ubuntu/mali/linux/mali_ukk_pp.c b/ubuntu/staging/mali/linux/mali_ukk_pp.c similarity index 100% rename from ubuntu/mali/linux/mali_ukk_pp.c rename to ubuntu/staging/mali/linux/mali_ukk_pp.c diff --git a/ubuntu/mali/linux/mali_ukk_profiling.c b/ubuntu/staging/mali/linux/mali_ukk_profiling.c similarity index 100% rename from ubuntu/mali/linux/mali_ukk_profiling.c rename to ubuntu/staging/mali/linux/mali_ukk_profiling.c diff --git a/ubuntu/mali/linux/mali_ukk_soft_job.c b/ubuntu/staging/mali/linux/mali_ukk_soft_job.c similarity index 100% rename from ubuntu/mali/linux/mali_ukk_soft_job.c rename to ubuntu/staging/mali/linux/mali_ukk_soft_job.c diff --git a/ubuntu/mali/linux/mali_ukk_timeline.c b/ubuntu/staging/mali/linux/mali_ukk_timeline.c similarity index 100% rename from ubuntu/mali/linux/mali_ukk_timeline.c rename to ubuntu/staging/mali/linux/mali_ukk_timeline.c diff --git a/ubuntu/mali/linux/mali_ukk_vsync.c b/ubuntu/staging/mali/linux/mali_ukk_vsync.c similarity index 100% rename from ubuntu/mali/linux/mali_ukk_vsync.c rename to ubuntu/staging/mali/linux/mali_ukk_vsync.c diff --git a/ubuntu/mali/linux/mali_ukk_wrappers.h b/ubuntu/staging/mali/linux/mali_ukk_wrappers.h similarity index 100% rename from ubuntu/mali/linux/mali_ukk_wrappers.h rename to ubuntu/staging/mali/linux/mali_ukk_wrappers.h diff --git a/ubuntu/mali/platform/arm/arm.c b/ubuntu/staging/mali/platform/arm/arm.c similarity index 100% rename from ubuntu/mali/platform/arm/arm.c rename to ubuntu/staging/mali/platform/arm/arm.c diff --git a/ubuntu/mali/platform/arm/arm_core_scaling.c b/ubuntu/staging/mali/platform/arm/arm_core_scaling.c similarity index 100% rename from ubuntu/mali/platform/arm/arm_core_scaling.c rename to ubuntu/staging/mali/platform/arm/arm_core_scaling.c diff --git a/ubuntu/mali/platform/arm/arm_core_scaling.h b/ubuntu/staging/mali/platform/arm/arm_core_scaling.h similarity index 100% rename from ubuntu/mali/platform/arm/arm_core_scaling.h rename to ubuntu/staging/mali/platform/arm/arm_core_scaling.h diff --git a/ubuntu/mali/platform/arm/juno_opp.c b/ubuntu/staging/mali/platform/arm/juno_opp.c similarity index 100% rename from ubuntu/mali/platform/arm/juno_opp.c rename to ubuntu/staging/mali/platform/arm/juno_opp.c diff --git a/ubuntu/mali/readme.txt b/ubuntu/staging/mali/readme.txt similarity index 100% rename from ubuntu/mali/readme.txt rename to ubuntu/staging/mali/readme.txt diff --git a/ubuntu/mali/regs/mali_200_regs.h b/ubuntu/staging/mali/regs/mali_200_regs.h similarity index 100% rename from ubuntu/mali/regs/mali_200_regs.h rename to ubuntu/staging/mali/regs/mali_200_regs.h diff --git a/ubuntu/mali/regs/mali_gp_regs.h b/ubuntu/staging/mali/regs/mali_gp_regs.h similarity index 100% rename from ubuntu/mali/regs/mali_gp_regs.h rename to ubuntu/staging/mali/regs/mali_gp_regs.h diff --git a/ubuntu/mali/timestamp-arm11-cc/mali_timestamp.c b/ubuntu/staging/mali/timestamp-arm11-cc/mali_timestamp.c similarity index 100% rename from ubuntu/mali/timestamp-arm11-cc/mali_timestamp.c rename to ubuntu/staging/mali/timestamp-arm11-cc/mali_timestamp.c diff --git a/ubuntu/mali/timestamp-arm11-cc/mali_timestamp.h b/ubuntu/staging/mali/timestamp-arm11-cc/mali_timestamp.h similarity index 100% rename from ubuntu/mali/timestamp-arm11-cc/mali_timestamp.h rename to ubuntu/staging/mali/timestamp-arm11-cc/mali_timestamp.h diff --git a/ubuntu/mali/timestamp-default/mali_timestamp.c b/ubuntu/staging/mali/timestamp-default/mali_timestamp.c similarity index 100% rename from ubuntu/mali/timestamp-default/mali_timestamp.c rename to ubuntu/staging/mali/timestamp-default/mali_timestamp.c diff --git a/ubuntu/mali/timestamp-default/mali_timestamp.h b/ubuntu/staging/mali/timestamp-default/mali_timestamp.h similarity index 100% rename from ubuntu/mali/timestamp-default/mali_timestamp.h rename to ubuntu/staging/mali/timestamp-default/mali_timestamp.h diff --git a/ubuntu/xilinx-hdmi/Documentation/devicetree/bindings/silabs,si5324.txt b/ubuntu/staging/xilinx-hdmi/Documentation/devicetree/bindings/silabs,si5324.txt similarity index 100% rename from ubuntu/xilinx-hdmi/Documentation/devicetree/bindings/silabs,si5324.txt rename to ubuntu/staging/xilinx-hdmi/Documentation/devicetree/bindings/silabs,si5324.txt diff --git a/ubuntu/xilinx-hdmi/Documentation/devicetree/bindings/ti,dp159.txt b/ubuntu/staging/xilinx-hdmi/Documentation/devicetree/bindings/ti,dp159.txt similarity index 100% rename from ubuntu/xilinx-hdmi/Documentation/devicetree/bindings/ti,dp159.txt rename to ubuntu/staging/xilinx-hdmi/Documentation/devicetree/bindings/ti,dp159.txt diff --git a/ubuntu/xilinx-hdmi/Documentation/devicetree/bindings/xlnx,v-hdmi-rx-ss.txt b/ubuntu/staging/xilinx-hdmi/Documentation/devicetree/bindings/xlnx,v-hdmi-rx-ss.txt similarity index 100% rename from ubuntu/xilinx-hdmi/Documentation/devicetree/bindings/xlnx,v-hdmi-rx-ss.txt rename to ubuntu/staging/xilinx-hdmi/Documentation/devicetree/bindings/xlnx,v-hdmi-rx-ss.txt diff --git a/ubuntu/xilinx-hdmi/Documentation/devicetree/bindings/xlnx,v-hdmi-tx-ss.txt b/ubuntu/staging/xilinx-hdmi/Documentation/devicetree/bindings/xlnx,v-hdmi-tx-ss.txt similarity index 100% rename from ubuntu/xilinx-hdmi/Documentation/devicetree/bindings/xlnx,v-hdmi-tx-ss.txt rename to ubuntu/staging/xilinx-hdmi/Documentation/devicetree/bindings/xlnx,v-hdmi-tx-ss.txt diff --git a/ubuntu/xilinx-hdmi/Documentation/devicetree/bindings/xlnx,vphy.txt b/ubuntu/staging/xilinx-hdmi/Documentation/devicetree/bindings/xlnx,vphy.txt similarity index 100% rename from ubuntu/xilinx-hdmi/Documentation/devicetree/bindings/xlnx,vphy.txt rename to ubuntu/staging/xilinx-hdmi/Documentation/devicetree/bindings/xlnx,vphy.txt diff --git a/ubuntu/xilinx-hdmi/Kbuild b/ubuntu/staging/xilinx-hdmi/Kbuild similarity index 100% rename from ubuntu/xilinx-hdmi/Kbuild rename to ubuntu/staging/xilinx-hdmi/Kbuild diff --git a/ubuntu/xilinx-hdmi/LICENSE.md b/ubuntu/staging/xilinx-hdmi/LICENSE.md similarity index 100% rename from ubuntu/xilinx-hdmi/LICENSE.md rename to ubuntu/staging/xilinx-hdmi/LICENSE.md diff --git a/ubuntu/xilinx-hdmi/Makefile b/ubuntu/staging/xilinx-hdmi/Makefile similarity index 100% rename from ubuntu/xilinx-hdmi/Makefile rename to ubuntu/staging/xilinx-hdmi/Makefile diff --git a/ubuntu/xilinx-hdmi/README.md b/ubuntu/staging/xilinx-hdmi/README.md similarity index 100% rename from ubuntu/xilinx-hdmi/README.md rename to ubuntu/staging/xilinx-hdmi/README.md diff --git a/ubuntu/xilinx-hdmi/hdmi/Makefile b/ubuntu/staging/xilinx-hdmi/hdmi/Makefile similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/Makefile rename to ubuntu/staging/xilinx-hdmi/hdmi/Makefile diff --git a/ubuntu/xilinx-hdmi/hdmi/include/linux/phy/phy-vphy.h b/ubuntu/staging/xilinx-hdmi/hdmi/include/linux/phy/phy-vphy.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/include/linux/phy/phy-vphy.h rename to ubuntu/staging/xilinx-hdmi/hdmi/include/linux/phy/phy-vphy.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-vphy.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-vphy.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-vphy.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-vphy.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/aes.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/aes.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/aes.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/aes.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/aes256.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/aes256.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/aes256.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/aes256.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/aes256.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/aes256.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/aes256.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/aes256.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/bigdigits.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/bigdigits.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/bigdigits.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/bigdigits.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/bigdigits.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/bigdigits.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/bigdigits.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/bigdigits.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/hmac.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/hmac.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/hmac.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/hmac.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/sha1.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/sha1.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/sha1.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/sha1.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/sha1.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/sha1.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/sha1.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/sha1.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/sha2.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/sha2.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/sha2.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/sha2.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/sleep.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/sleep.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/sleep.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/sleep.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xdebug.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xdebug.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xdebug.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xdebug.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xdebug.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xdebug.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xdebug.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xdebug.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_cipher.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_cipher.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_cipher.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_cipher.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_cipher.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_cipher.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_cipher.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_cipher.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_cipher_intr.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_cipher_intr.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_cipher_intr.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_cipher_intr.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_debug.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_debug.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_debug.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_debug.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_hw.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_hw.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_hw.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_hw.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_intr.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_intr.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_intr.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_intr.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_platform.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_platform.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_platform.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_platform.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_platform.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_platform.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_platform.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_platform.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi_rx.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi_rx.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi_rx.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi_rx.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi_tx.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi_tx.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi_tx.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_hdmi_tx.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_intr.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_intr.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_intr.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_port_intr.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_rx.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_rx.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_rx.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_rx.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_rx.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_rx.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_rx.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_rx.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_selftest.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_selftest.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_selftest.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_selftest.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_sinit.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_sinit.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_sinit.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_sinit.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_tx.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_tx.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_tx.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_tx.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_tx.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_tx.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_tx.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp1x_tx.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher_hw.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher_hw.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher_hw.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher_hw.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher_sinit.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher_sinit.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher_sinit.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_cipher_sinit.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_common.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_common.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_common.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_common.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult_hw.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult_hw.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult_hw.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult_hw.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult_sinit.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult_sinit.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult_sinit.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_mmult_sinit.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng_hw.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng_hw.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng_hw.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng_hw.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng_sinit.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng_sinit.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng_sinit.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rng_sinit.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx_crypt.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx_crypt.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx_crypt.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx_crypt.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx_i.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx_i.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx_i.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx_i.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx_sinit.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx_sinit.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx_sinit.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_rx_sinit.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx_crypt.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx_crypt.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx_crypt.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx_crypt.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx_i.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx_i.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx_i.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx_i.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx_sinit.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx_sinit.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx_sinit.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdcp22_tx_sinit.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_gt.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_gt.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_gt.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_gt.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_gtye5.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_gtye5.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_gtye5.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_gtye5.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi_intr.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi_intr.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi_intr.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hdmi_intr.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hw.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hw.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hw.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_hw.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_i.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_i.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_i.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_i.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_i.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_i.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_i.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_i.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_intr.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_intr.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_intr.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_intr.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_log.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_log.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_log.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_log.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_mmcme5.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_mmcme5.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_mmcme5.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_mmcme5.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_sinit.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_sinit.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_sinit.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xhdmiphy1_sinit.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_assert.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_assert.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_assert.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_assert.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_io.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_io.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_io.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_io.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_printf.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_printf.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_printf.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_printf.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_types.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_types.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_types.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xil_types.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xstatus.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xstatus.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xstatus.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xstatus.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_i.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_i.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_i.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_i.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_intr.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_intr.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_intr.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_intr.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_l.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_l.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_l.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_l.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_l.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_l.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_l.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_l.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_options.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_options.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_options.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_options.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_sinit.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_sinit.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_sinit.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xtmrctr_sinit.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic_vsif.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic_vsif.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic_vsif.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic_vsif.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic_vsif.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic_vsif.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic_vsif.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xv_hdmic_vsif.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc_timings_table.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc_timings_table.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc_timings_table.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvidc_timings_table.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_gt.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_gt.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_gt.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_gt.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_gthe4.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_gthe4.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_gthe4.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_gthe4.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hdmi.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hdmi.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hdmi.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hdmi.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hdmi.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hdmi.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hdmi.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hdmi.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hdmi_intr.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hdmi_intr.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hdmi_intr.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hdmi_intr.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hw.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hw.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hw.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_hw.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_i.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_i.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_i.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_i.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_i.h b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_i.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_i.h rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_i.h diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_intr.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_intr.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_intr.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_intr.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_log.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_log.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_log.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_log.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_mmcme4.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_mmcme4.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_mmcme4.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_mmcme4.c diff --git a/ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_sinit.c b/ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_sinit.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_sinit.c rename to ubuntu/staging/xilinx-hdmi/hdmi/phy-xilinx-vphy/xvphy_sinit.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx.h b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx.h rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx.h diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx_hw.h b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx_hw.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx_hw.h rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx_hw.h diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx_intr.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx_intr.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx_intr.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx_intr.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx_sinit.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx_sinit.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx_sinit.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirx_sinit.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss.h b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss.h rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss.h diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_coreinit.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_coreinit.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_coreinit.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_coreinit.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_coreinit.h b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_coreinit.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_coreinit.h rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_coreinit.h diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_hdcp.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_hdcp.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_hdcp.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_hdcp.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_log.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_log.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_log.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-rx/xv_hdmirxss_log.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx.h b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx.h rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx.h diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx_hw.h b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx_hw.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx_hw.h rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx_hw.h diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx_intr.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx_intr.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx_intr.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx_intr.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx_sinit.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx_sinit.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx_sinit.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitx_sinit.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss.h b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss.h rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss.h diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_coreinit.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_coreinit.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_coreinit.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_coreinit.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_coreinit.h b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_coreinit.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_coreinit.h rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_coreinit.h diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_hdcp.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_hdcp.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_hdcp.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_hdcp.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_log.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_log.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_log.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xv_hdmitxss_log.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc.h b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc.h rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc.h diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_hw.h b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_hw.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_hw.h rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_hw.h diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_intr.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_intr.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_intr.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_intr.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_sinit.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_sinit.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_sinit.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmi-tx/xvtc_sinit.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx-hdmirx.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmirx.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx-hdmirx.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx-hdmirx.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xilinx_drm_hdmi.c b/ubuntu/staging/xilinx-hdmi/hdmi/xilinx_drm_hdmi.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xilinx_drm_hdmi.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xilinx_drm_hdmi.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xlnx_hdmirx_audio.c b/ubuntu/staging/xilinx-hdmi/hdmi/xlnx_hdmirx_audio.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xlnx_hdmirx_audio.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xlnx_hdmirx_audio.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xlnx_hdmirx_audio.h b/ubuntu/staging/xilinx-hdmi/hdmi/xlnx_hdmirx_audio.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xlnx_hdmirx_audio.h rename to ubuntu/staging/xilinx-hdmi/hdmi/xlnx_hdmirx_audio.h diff --git a/ubuntu/xilinx-hdmi/hdmi/xlnx_hdmitx_audio.c b/ubuntu/staging/xilinx-hdmi/hdmi/xlnx_hdmitx_audio.c similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xlnx_hdmitx_audio.c rename to ubuntu/staging/xilinx-hdmi/hdmi/xlnx_hdmitx_audio.c diff --git a/ubuntu/xilinx-hdmi/hdmi/xlnx_hdmitx_audio.h b/ubuntu/staging/xilinx-hdmi/hdmi/xlnx_hdmitx_audio.h similarity index 100% rename from ubuntu/xilinx-hdmi/hdmi/xlnx_hdmitx_audio.h rename to ubuntu/staging/xilinx-hdmi/hdmi/xlnx_hdmitx_audio.h diff --git a/ubuntu/xilinx-hdmi/misc/Makefile b/ubuntu/staging/xilinx-hdmi/misc/Makefile similarity index 100% rename from ubuntu/xilinx-hdmi/misc/Makefile rename to ubuntu/staging/xilinx-hdmi/misc/Makefile diff --git a/ubuntu/xilinx-hdmi/misc/dp159.c b/ubuntu/staging/xilinx-hdmi/misc/dp159.c similarity index 100% rename from ubuntu/xilinx-hdmi/misc/dp159.c rename to ubuntu/staging/xilinx-hdmi/misc/dp159.c diff --git a/ubuntu/xilinx-isp-module/LICENSE.md b/ubuntu/staging/xilinx-isp-module/LICENSE.md similarity index 100% rename from ubuntu/xilinx-isp-module/LICENSE.md rename to ubuntu/staging/xilinx-isp-module/LICENSE.md diff --git a/ubuntu/xilinx-isp-module/Makefile b/ubuntu/staging/xilinx-isp-module/Makefile similarity index 100% rename from ubuntu/xilinx-isp-module/Makefile rename to ubuntu/staging/xilinx-isp-module/Makefile diff --git a/ubuntu/xilinx-isp-module/README.md b/ubuntu/staging/xilinx-isp-module/README.md similarity index 100% rename from ubuntu/xilinx-isp-module/README.md rename to ubuntu/staging/xilinx-isp-module/README.md diff --git a/ubuntu/xilinx-isp-module/xilinx-isppipeline.c b/ubuntu/staging/xilinx-isp-module/xilinx-isppipeline.c similarity index 100% rename from ubuntu/xilinx-isp-module/xilinx-isppipeline.c rename to ubuntu/staging/xilinx-isp-module/xilinx-isppipeline.c diff --git a/ubuntu/xilinx-isp-module/xilinx-vip.h b/ubuntu/staging/xilinx-isp-module/xilinx-vip.h similarity index 100% rename from ubuntu/xilinx-isp-module/xilinx-vip.h rename to ubuntu/staging/xilinx-isp-module/xilinx-vip.h diff --git a/ubuntu/xilinx-mv-camera-10gige-module/Kconfig b/ubuntu/staging/xilinx-mv-camera-10gige-module/Kconfig similarity index 100% rename from ubuntu/xilinx-mv-camera-10gige-module/Kconfig rename to ubuntu/staging/xilinx-mv-camera-10gige-module/Kconfig diff --git a/ubuntu/xilinx-mv-camera-10gige-module/LICENSE.md b/ubuntu/staging/xilinx-mv-camera-10gige-module/LICENSE.md similarity index 100% rename from ubuntu/xilinx-mv-camera-10gige-module/LICENSE.md rename to ubuntu/staging/xilinx-mv-camera-10gige-module/LICENSE.md diff --git a/ubuntu/xilinx-mv-camera-10gige-module/Makefile b/ubuntu/staging/xilinx-mv-camera-10gige-module/Makefile similarity index 100% rename from ubuntu/xilinx-mv-camera-10gige-module/Makefile rename to ubuntu/staging/xilinx-mv-camera-10gige-module/Makefile diff --git a/ubuntu/xilinx-mv-camera-10gige-module/README.md b/ubuntu/staging/xilinx-mv-camera-10gige-module/README.md similarity index 100% rename from ubuntu/xilinx-mv-camera-10gige-module/README.md rename to ubuntu/staging/xilinx-mv-camera-10gige-module/README.md diff --git a/ubuntu/xilinx-mv-camera-10gige-module/s2imac.h b/ubuntu/staging/xilinx-mv-camera-10gige-module/s2imac.h similarity index 100% rename from ubuntu/xilinx-mv-camera-10gige-module/s2imac.h rename to ubuntu/staging/xilinx-mv-camera-10gige-module/s2imac.h diff --git a/ubuntu/xilinx-mv-camera-10gige-module/s2imac_m.c b/ubuntu/staging/xilinx-mv-camera-10gige-module/s2imac_m.c similarity index 100% rename from ubuntu/xilinx-mv-camera-10gige-module/s2imac_m.c rename to ubuntu/staging/xilinx-mv-camera-10gige-module/s2imac_m.c diff --git a/ubuntu/xilinx-mv-camera-sensor-module/LICENSE.md b/ubuntu/staging/xilinx-mv-camera-sensor-module/LICENSE.md similarity index 100% rename from ubuntu/xilinx-mv-camera-sensor-module/LICENSE.md rename to ubuntu/staging/xilinx-mv-camera-sensor-module/LICENSE.md diff --git a/ubuntu/xilinx-mv-camera-sensor-module/Makefile b/ubuntu/staging/xilinx-mv-camera-sensor-module/Makefile similarity index 100% rename from ubuntu/xilinx-mv-camera-sensor-module/Makefile rename to ubuntu/staging/xilinx-mv-camera-sensor-module/Makefile diff --git a/ubuntu/xilinx-mv-camera-sensor-module/README.md b/ubuntu/staging/xilinx-mv-camera-sensor-module/README.md similarity index 100% rename from ubuntu/xilinx-mv-camera-sensor-module/README.md rename to ubuntu/staging/xilinx-mv-camera-sensor-module/README.md diff --git a/ubuntu/xilinx-mv-camera-sensor-module/imx547.c b/ubuntu/staging/xilinx-mv-camera-sensor-module/imx547.c similarity index 100% rename from ubuntu/xilinx-mv-camera-sensor-module/imx547.c rename to ubuntu/staging/xilinx-mv-camera-sensor-module/imx547.c diff --git a/ubuntu/xilinx-mv-camera-sensor-module/imx547_mode_tbls.h b/ubuntu/staging/xilinx-mv-camera-sensor-module/imx547_mode_tbls.h similarity index 100% rename from ubuntu/xilinx-mv-camera-sensor-module/imx547_mode_tbls.h rename to ubuntu/staging/xilinx-mv-camera-sensor-module/imx547_mode_tbls.h diff --git a/ubuntu/xilinx-vcu/Kbuild b/ubuntu/staging/xilinx-vcu/Kbuild similarity index 100% rename from ubuntu/xilinx-vcu/Kbuild rename to ubuntu/staging/xilinx-vcu/Kbuild diff --git a/ubuntu/xilinx-vcu/Kconfig b/ubuntu/staging/xilinx-vcu/Kconfig similarity index 100% rename from ubuntu/xilinx-vcu/Kconfig rename to ubuntu/staging/xilinx-vcu/Kconfig diff --git a/ubuntu/xilinx-vcu/LICENSE.md b/ubuntu/staging/xilinx-vcu/LICENSE.md similarity index 100% rename from ubuntu/xilinx-vcu/LICENSE.md rename to ubuntu/staging/xilinx-vcu/LICENSE.md diff --git a/ubuntu/xilinx-vcu/Makefile b/ubuntu/staging/xilinx-vcu/Makefile similarity index 100% rename from ubuntu/xilinx-vcu/Makefile rename to ubuntu/staging/xilinx-vcu/Makefile diff --git a/ubuntu/xilinx-vcu/README.md b/ubuntu/staging/xilinx-vcu/README.md similarity index 100% rename from ubuntu/xilinx-vcu/README.md rename to ubuntu/staging/xilinx-vcu/README.md diff --git a/ubuntu/xilinx-vcu/al5d/Kconfig b/ubuntu/staging/xilinx-vcu/al5d/Kconfig similarity index 100% rename from ubuntu/xilinx-vcu/al5d/Kconfig rename to ubuntu/staging/xilinx-vcu/al5d/Kconfig diff --git a/ubuntu/xilinx-vcu/al5d/Makefile b/ubuntu/staging/xilinx-vcu/al5d/Makefile similarity index 100% rename from ubuntu/xilinx-vcu/al5d/Makefile rename to ubuntu/staging/xilinx-vcu/al5d/Makefile diff --git a/ubuntu/xilinx-vcu/al5d/al_dec.c b/ubuntu/staging/xilinx-vcu/al5d/al_dec.c similarity index 100% rename from ubuntu/xilinx-vcu/al5d/al_dec.c rename to ubuntu/staging/xilinx-vcu/al5d/al_dec.c diff --git a/ubuntu/xilinx-vcu/al5d/al_dec_ioctl.h b/ubuntu/staging/xilinx-vcu/al5d/al_dec_ioctl.h similarity index 100% rename from ubuntu/xilinx-vcu/al5d/al_dec_ioctl.h rename to ubuntu/staging/xilinx-vcu/al5d/al_dec_ioctl.h diff --git a/ubuntu/xilinx-vcu/al5d/dec_mails_factory.c b/ubuntu/staging/xilinx-vcu/al5d/dec_mails_factory.c similarity index 100% rename from ubuntu/xilinx-vcu/al5d/dec_mails_factory.c rename to ubuntu/staging/xilinx-vcu/al5d/dec_mails_factory.c diff --git a/ubuntu/xilinx-vcu/al5d/dec_mails_factory.h b/ubuntu/staging/xilinx-vcu/al5d/dec_mails_factory.h similarity index 100% rename from ubuntu/xilinx-vcu/al5d/dec_mails_factory.h rename to ubuntu/staging/xilinx-vcu/al5d/dec_mails_factory.h diff --git a/ubuntu/xilinx-vcu/al5d/dec_user.c b/ubuntu/staging/xilinx-vcu/al5d/dec_user.c similarity index 100% rename from ubuntu/xilinx-vcu/al5d/dec_user.c rename to ubuntu/staging/xilinx-vcu/al5d/dec_user.c diff --git a/ubuntu/xilinx-vcu/al5d/dec_user.h b/ubuntu/staging/xilinx-vcu/al5d/dec_user.h similarity index 100% rename from ubuntu/xilinx-vcu/al5d/dec_user.h rename to ubuntu/staging/xilinx-vcu/al5d/dec_user.h diff --git a/ubuntu/xilinx-vcu/al5e/Kconfig b/ubuntu/staging/xilinx-vcu/al5e/Kconfig similarity index 100% rename from ubuntu/xilinx-vcu/al5e/Kconfig rename to ubuntu/staging/xilinx-vcu/al5e/Kconfig diff --git a/ubuntu/xilinx-vcu/al5e/Makefile b/ubuntu/staging/xilinx-vcu/al5e/Makefile similarity index 100% rename from ubuntu/xilinx-vcu/al5e/Makefile rename to ubuntu/staging/xilinx-vcu/al5e/Makefile diff --git a/ubuntu/xilinx-vcu/al5e/al_enc.c b/ubuntu/staging/xilinx-vcu/al5e/al_enc.c similarity index 100% rename from ubuntu/xilinx-vcu/al5e/al_enc.c rename to ubuntu/staging/xilinx-vcu/al5e/al_enc.c diff --git a/ubuntu/xilinx-vcu/al5e/al_enc_ioctl.h b/ubuntu/staging/xilinx-vcu/al5e/al_enc_ioctl.h similarity index 100% rename from ubuntu/xilinx-vcu/al5e/al_enc_ioctl.h rename to ubuntu/staging/xilinx-vcu/al5e/al_enc_ioctl.h diff --git a/ubuntu/xilinx-vcu/al5e/enc_feedbacks.h b/ubuntu/staging/xilinx-vcu/al5e/enc_feedbacks.h similarity index 100% rename from ubuntu/xilinx-vcu/al5e/enc_feedbacks.h rename to ubuntu/staging/xilinx-vcu/al5e/enc_feedbacks.h diff --git a/ubuntu/xilinx-vcu/al5e/enc_mails_factory.c b/ubuntu/staging/xilinx-vcu/al5e/enc_mails_factory.c similarity index 100% rename from ubuntu/xilinx-vcu/al5e/enc_mails_factory.c rename to ubuntu/staging/xilinx-vcu/al5e/enc_mails_factory.c diff --git a/ubuntu/xilinx-vcu/al5e/enc_mails_factory.h b/ubuntu/staging/xilinx-vcu/al5e/enc_mails_factory.h similarity index 100% rename from ubuntu/xilinx-vcu/al5e/enc_mails_factory.h rename to ubuntu/staging/xilinx-vcu/al5e/enc_mails_factory.h diff --git a/ubuntu/xilinx-vcu/al5e/enc_user.c b/ubuntu/staging/xilinx-vcu/al5e/enc_user.c similarity index 100% rename from ubuntu/xilinx-vcu/al5e/enc_user.c rename to ubuntu/staging/xilinx-vcu/al5e/enc_user.c diff --git a/ubuntu/xilinx-vcu/al5e/enc_user.h b/ubuntu/staging/xilinx-vcu/al5e/enc_user.h similarity index 100% rename from ubuntu/xilinx-vcu/al5e/enc_user.h rename to ubuntu/staging/xilinx-vcu/al5e/enc_user.h diff --git a/ubuntu/xilinx-vcu/common/Makefile b/ubuntu/staging/xilinx-vcu/common/Makefile similarity index 100% rename from ubuntu/xilinx-vcu/common/Makefile rename to ubuntu/staging/xilinx-vcu/common/Makefile diff --git a/ubuntu/xilinx-vcu/common/al_alloc.c b/ubuntu/staging/xilinx-vcu/common/al_alloc.c similarity index 100% rename from ubuntu/xilinx-vcu/common/al_alloc.c rename to ubuntu/staging/xilinx-vcu/common/al_alloc.c diff --git a/ubuntu/xilinx-vcu/common/al_alloc_ioctl.c b/ubuntu/staging/xilinx-vcu/common/al_alloc_ioctl.c similarity index 100% rename from ubuntu/xilinx-vcu/common/al_alloc_ioctl.c rename to ubuntu/staging/xilinx-vcu/common/al_alloc_ioctl.c diff --git a/ubuntu/xilinx-vcu/common/al_buffers_pool.c b/ubuntu/staging/xilinx-vcu/common/al_buffers_pool.c similarity index 100% rename from ubuntu/xilinx-vcu/common/al_buffers_pool.c rename to ubuntu/staging/xilinx-vcu/common/al_buffers_pool.c diff --git a/ubuntu/xilinx-vcu/common/al_char.c b/ubuntu/staging/xilinx-vcu/common/al_char.c similarity index 100% rename from ubuntu/xilinx-vcu/common/al_char.c rename to ubuntu/staging/xilinx-vcu/common/al_char.c diff --git a/ubuntu/xilinx-vcu/common/al_codec.c b/ubuntu/staging/xilinx-vcu/common/al_codec.c similarity index 100% rename from ubuntu/xilinx-vcu/common/al_codec.c rename to ubuntu/staging/xilinx-vcu/common/al_codec.c diff --git a/ubuntu/xilinx-vcu/common/al_codec_mails.c b/ubuntu/staging/xilinx-vcu/common/al_codec_mails.c similarity index 100% rename from ubuntu/xilinx-vcu/common/al_codec_mails.c rename to ubuntu/staging/xilinx-vcu/common/al_codec_mails.c diff --git a/ubuntu/xilinx-vcu/common/al_dmabuf.c b/ubuntu/staging/xilinx-vcu/common/al_dmabuf.c similarity index 100% rename from ubuntu/xilinx-vcu/common/al_dmabuf.c rename to ubuntu/staging/xilinx-vcu/common/al_dmabuf.c diff --git a/ubuntu/xilinx-vcu/common/al_group.c b/ubuntu/staging/xilinx-vcu/common/al_group.c similarity index 100% rename from ubuntu/xilinx-vcu/common/al_group.c rename to ubuntu/staging/xilinx-vcu/common/al_group.c diff --git a/ubuntu/xilinx-vcu/common/al_l2_prefetch.c b/ubuntu/staging/xilinx-vcu/common/al_l2_prefetch.c similarity index 100% rename from ubuntu/xilinx-vcu/common/al_l2_prefetch.c rename to ubuntu/staging/xilinx-vcu/common/al_l2_prefetch.c diff --git a/ubuntu/xilinx-vcu/common/al_list.c b/ubuntu/staging/xilinx-vcu/common/al_list.c similarity index 100% rename from ubuntu/xilinx-vcu/common/al_list.c rename to ubuntu/staging/xilinx-vcu/common/al_list.c diff --git a/ubuntu/xilinx-vcu/common/al_mail.c b/ubuntu/staging/xilinx-vcu/common/al_mail.c similarity index 100% rename from ubuntu/xilinx-vcu/common/al_mail.c rename to ubuntu/staging/xilinx-vcu/common/al_mail.c diff --git a/ubuntu/xilinx-vcu/common/al_mailbox.c b/ubuntu/staging/xilinx-vcu/common/al_mailbox.c similarity index 100% rename from ubuntu/xilinx-vcu/common/al_mailbox.c rename to ubuntu/staging/xilinx-vcu/common/al_mailbox.c diff --git a/ubuntu/xilinx-vcu/common/al_queue.c b/ubuntu/staging/xilinx-vcu/common/al_queue.c similarity index 100% rename from ubuntu/xilinx-vcu/common/al_queue.c rename to ubuntu/staging/xilinx-vcu/common/al_queue.c diff --git a/ubuntu/xilinx-vcu/common/al_user.c b/ubuntu/staging/xilinx-vcu/common/al_user.c similarity index 100% rename from ubuntu/xilinx-vcu/common/al_user.c rename to ubuntu/staging/xilinx-vcu/common/al_user.c diff --git a/ubuntu/xilinx-vcu/common/al_vcu.c b/ubuntu/staging/xilinx-vcu/common/al_vcu.c similarity index 100% rename from ubuntu/xilinx-vcu/common/al_vcu.c rename to ubuntu/staging/xilinx-vcu/common/al_vcu.c diff --git a/ubuntu/xilinx-vcu/common/mcu_interface.c b/ubuntu/staging/xilinx-vcu/common/mcu_interface.c similarity index 100% rename from ubuntu/xilinx-vcu/common/mcu_interface.c rename to ubuntu/staging/xilinx-vcu/common/mcu_interface.c diff --git a/ubuntu/xilinx-vcu/common/mcu_utils.c b/ubuntu/staging/xilinx-vcu/common/mcu_utils.c similarity index 100% rename from ubuntu/xilinx-vcu/common/mcu_utils.c rename to ubuntu/staging/xilinx-vcu/common/mcu_utils.c diff --git a/ubuntu/xilinx-vcu/common/mcu_utils.h b/ubuntu/staging/xilinx-vcu/common/mcu_utils.h similarity index 100% rename from ubuntu/xilinx-vcu/common/mcu_utils.h rename to ubuntu/staging/xilinx-vcu/common/mcu_utils.h diff --git a/ubuntu/xilinx-vcu/common/xil_clk.c b/ubuntu/staging/xilinx-vcu/common/xil_clk.c similarity index 100% rename from ubuntu/xilinx-vcu/common/xil_clk.c rename to ubuntu/staging/xilinx-vcu/common/xil_clk.c diff --git a/ubuntu/xilinx-vcu/common/xil_l2_prefetch.c b/ubuntu/staging/xilinx-vcu/common/xil_l2_prefetch.c similarity index 100% rename from ubuntu/xilinx-vcu/common/xil_l2_prefetch.c rename to ubuntu/staging/xilinx-vcu/common/xil_l2_prefetch.c diff --git a/ubuntu/xilinx-vcu/device-tree-bindings.txt b/ubuntu/staging/xilinx-vcu/device-tree-bindings.txt similarity index 100% rename from ubuntu/xilinx-vcu/device-tree-bindings.txt rename to ubuntu/staging/xilinx-vcu/device-tree-bindings.txt diff --git a/ubuntu/xilinx-vcu/dmaproxy/Kconfig b/ubuntu/staging/xilinx-vcu/dmaproxy/Kconfig similarity index 100% rename from ubuntu/xilinx-vcu/dmaproxy/Kconfig rename to ubuntu/staging/xilinx-vcu/dmaproxy/Kconfig diff --git a/ubuntu/xilinx-vcu/dmaproxy/Makefile b/ubuntu/staging/xilinx-vcu/dmaproxy/Makefile similarity index 100% rename from ubuntu/xilinx-vcu/dmaproxy/Makefile rename to ubuntu/staging/xilinx-vcu/dmaproxy/Makefile diff --git a/ubuntu/xilinx-vcu/dmaproxy/dmaproxy.c b/ubuntu/staging/xilinx-vcu/dmaproxy/dmaproxy.c similarity index 100% rename from ubuntu/xilinx-vcu/dmaproxy/dmaproxy.c rename to ubuntu/staging/xilinx-vcu/dmaproxy/dmaproxy.c diff --git a/ubuntu/xilinx-vcu/dmaproxy/dmaproxy.h b/ubuntu/staging/xilinx-vcu/dmaproxy/dmaproxy.h similarity index 100% rename from ubuntu/xilinx-vcu/dmaproxy/dmaproxy.h rename to ubuntu/staging/xilinx-vcu/dmaproxy/dmaproxy.h diff --git a/ubuntu/xilinx-vcu/include/al_alloc.h b/ubuntu/staging/xilinx-vcu/include/al_alloc.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_alloc.h rename to ubuntu/staging/xilinx-vcu/include/al_alloc.h diff --git a/ubuntu/xilinx-vcu/include/al_alloc_ioctl.h b/ubuntu/staging/xilinx-vcu/include/al_alloc_ioctl.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_alloc_ioctl.h rename to ubuntu/staging/xilinx-vcu/include/al_alloc_ioctl.h diff --git a/ubuntu/xilinx-vcu/include/al_buffers_pool.h b/ubuntu/staging/xilinx-vcu/include/al_buffers_pool.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_buffers_pool.h rename to ubuntu/staging/xilinx-vcu/include/al_buffers_pool.h diff --git a/ubuntu/xilinx-vcu/include/al_char.h b/ubuntu/staging/xilinx-vcu/include/al_char.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_char.h rename to ubuntu/staging/xilinx-vcu/include/al_char.h diff --git a/ubuntu/xilinx-vcu/include/al_codec.h b/ubuntu/staging/xilinx-vcu/include/al_codec.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_codec.h rename to ubuntu/staging/xilinx-vcu/include/al_codec.h diff --git a/ubuntu/xilinx-vcu/include/al_codec_mails.h b/ubuntu/staging/xilinx-vcu/include/al_codec_mails.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_codec_mails.h rename to ubuntu/staging/xilinx-vcu/include/al_codec_mails.h diff --git a/ubuntu/xilinx-vcu/include/al_constants.h b/ubuntu/staging/xilinx-vcu/include/al_constants.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_constants.h rename to ubuntu/staging/xilinx-vcu/include/al_constants.h diff --git a/ubuntu/xilinx-vcu/include/al_dmabuf.h b/ubuntu/staging/xilinx-vcu/include/al_dmabuf.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_dmabuf.h rename to ubuntu/staging/xilinx-vcu/include/al_dmabuf.h diff --git a/ubuntu/xilinx-vcu/include/al_group.h b/ubuntu/staging/xilinx-vcu/include/al_group.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_group.h rename to ubuntu/staging/xilinx-vcu/include/al_group.h diff --git a/ubuntu/xilinx-vcu/include/al_ioctl.h b/ubuntu/staging/xilinx-vcu/include/al_ioctl.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_ioctl.h rename to ubuntu/staging/xilinx-vcu/include/al_ioctl.h diff --git a/ubuntu/xilinx-vcu/include/al_list.h b/ubuntu/staging/xilinx-vcu/include/al_list.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_list.h rename to ubuntu/staging/xilinx-vcu/include/al_list.h diff --git a/ubuntu/xilinx-vcu/include/al_mail.h b/ubuntu/staging/xilinx-vcu/include/al_mail.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_mail.h rename to ubuntu/staging/xilinx-vcu/include/al_mail.h diff --git a/ubuntu/xilinx-vcu/include/al_mail_private.h b/ubuntu/staging/xilinx-vcu/include/al_mail_private.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_mail_private.h rename to ubuntu/staging/xilinx-vcu/include/al_mail_private.h diff --git a/ubuntu/xilinx-vcu/include/al_mailbox.h b/ubuntu/staging/xilinx-vcu/include/al_mailbox.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_mailbox.h rename to ubuntu/staging/xilinx-vcu/include/al_mailbox.h diff --git a/ubuntu/xilinx-vcu/include/al_queue.h b/ubuntu/staging/xilinx-vcu/include/al_queue.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_queue.h rename to ubuntu/staging/xilinx-vcu/include/al_queue.h diff --git a/ubuntu/xilinx-vcu/include/al_traces.h b/ubuntu/staging/xilinx-vcu/include/al_traces.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_traces.h rename to ubuntu/staging/xilinx-vcu/include/al_traces.h diff --git a/ubuntu/xilinx-vcu/include/al_user.h b/ubuntu/staging/xilinx-vcu/include/al_user.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_user.h rename to ubuntu/staging/xilinx-vcu/include/al_user.h diff --git a/ubuntu/xilinx-vcu/include/al_vcu.h b/ubuntu/staging/xilinx-vcu/include/al_vcu.h similarity index 100% rename from ubuntu/xilinx-vcu/include/al_vcu.h rename to ubuntu/staging/xilinx-vcu/include/al_vcu.h diff --git a/ubuntu/xilinx-vcu/include/mcu_interface.h b/ubuntu/staging/xilinx-vcu/include/mcu_interface.h similarity index 100% rename from ubuntu/xilinx-vcu/include/mcu_interface.h rename to ubuntu/staging/xilinx-vcu/include/mcu_interface.h diff --git a/ubuntu/xilinx-vcu/include/mcu_interface_private.h b/ubuntu/staging/xilinx-vcu/include/mcu_interface_private.h similarity index 100% rename from ubuntu/xilinx-vcu/include/mcu_interface_private.h rename to ubuntu/staging/xilinx-vcu/include/mcu_interface_private.h diff --git a/ubuntu/xilinx-vcu/include/xil_clk.h b/ubuntu/staging/xilinx-vcu/include/xil_clk.h similarity index 100% rename from ubuntu/xilinx-vcu/include/xil_clk.h rename to ubuntu/staging/xilinx-vcu/include/xil_clk.h