Show patches with: Series = floppy: build as modules.       |    State = Action Required       |    Archived = No       |   7 patches
Patch Series A/F/R/T S/W/F Date Submitter Delegate State
[7/7] pc: add floppy=OnOffAuto floppy: build as modules. - - - - --- 2021-08-04 Gerd Hoffmann New
[6/7] floppy: build as modules. floppy: build as modules. - - - - --- 2021-08-04 Gerd Hoffmann New
[5/7] floppy: move cmos_get_fd_drive_type floppy: build as modules. - - - - --- 2021-08-04 Gerd Hoffmann New
[4/7] floppy: move sun4m_fdctrl_init floppy: build as modules. - - - - --- 2021-08-04 Gerd Hoffmann New
[3/7] floppy: move fdctrl_init_sysbus floppy: build as modules. - - - - --- 2021-08-04 Gerd Hoffmann New
[2/7] floppy: move isa_fdc_init_drives + fdctrl_init_drives floppy: build as modules. - - - - --- 2021-08-04 Gerd Hoffmann New
[1/7] floppy: move isa_fdc_get_drive_type to separate source file. floppy: build as modules. - - - - --- 2021-08-04 Gerd Hoffmann New