Show patches with: State = Action Required       |    Archived = No       |   426525 patches
« 1 2 ... 2057 2058 20594265 4266 »
Patch Series A/F/R/T S/W/F Date Submitter Delegate State
[PULL,v2,00/46] Misc patches for 2018-12-13 - - - - --- 2018-12-13 Paolo Bonzini New
vl: Use error_fatal to simplify obvious fatal errors (again) vl: Use error_fatal to simplify obvious fatal errors (again) - - 3 - --- 2018-12-13 Markus Armbruster New
[1/1] checkpatch: check for malformed comment block. checkpatch: checker for comment block - - - - --- 2018-12-13 Wainer dos Santos Moschetta New
block: Replace qdict_put() by qdict_put_obj() where appropriate block: Replace qdict_put() by qdict_put_obj() where appropriate - - 2 - --- 2018-12-13 Markus Armbruster New
error: Remove NULL checks on error_propagate() calls error: Remove NULL checks on error_propagate() calls - - 3 - --- 2018-12-13 Markus Armbruster New
[v2,4/4] block/sheepdog: Convert from DPRINTF() macro to trace events block: Convert from DPRINTF() macro to trace event - - 1 - --- 2018-12-13 Laurent Vivier New
[v2,3/4] block/file-posix: Convert from DPRINTF() macro to trace events block: Convert from DPRINTF() macro to trace event - - 1 - --- 2018-12-13 Laurent Vivier New
[v2,2/4] block/curl: Convert from DPRINTF() macro to trace events block: Convert from DPRINTF() macro to trace event - - 2 - --- 2018-12-13 Laurent Vivier New
[v2,1/4] block/ssh: Convert from DPRINTF() macro to trace events block: Convert from DPRINTF() macro to trace event - - 2 - --- 2018-12-13 Laurent Vivier New
[v2] qdev/core: Can not replug device on bus that allows one device [v2] qdev/core: Can not replug device on bus that allows one device - - 3 - --- 2018-12-13 Anthony Krowiak New
[PULL,37/37] target/arm: Implement the ARMv8.1-LOR extension [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,36/37] target/arm: Use arm_hcr_el2_eff more places [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,35/37] target/arm: Introduce arm_hcr_el2_eff [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,34/37] target/arm: Implement the ARMv8.2-AA32HPD extension [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,33/37] target/arm: Implement the ARMv8.1-HPD extension [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,32/37] target/arm: Tidy scr_write [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,31/37] target/arm: Fix HCR_EL2.TGE check in arm_phys_excp_target_el [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,30/37] target/arm: Add SCR_EL3 bits up to ARMv8.5 [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,29/37] target/arm: Add HCR_EL2 bits up to ARMv8.5 [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,28/37] target/arm: Move id_aa64mmfr* to ARMISARegisters [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,27/37] hw/arm: versal: Correct the nr of IRQs to 192 [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,26/37] hw/arm: versal: Use IRQs 111 - 118 for virtio-mmio [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,25/37] hw/arm: versal: Reduce number of virtio-mmio instances [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,24/37] hw/arm: versal: Remove bogus virtio-mmio creation [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 2 - --- 2018-12-13 Peter Maydell New
[PULL,23/37] core/sysbus: remove the SysBusDeviceClass::init path [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,22/37] xen_backend: remove xen_sysdev_init() function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() 1 - - - --- 2018-12-13 Peter Maydell New
[PULL,21/37] usb/tusb6010: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - - - --- 2018-12-13 Peter Maydell New
[PULL,20/37] timer/puv3_ost: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,19/37] timer/grlib_gptimer: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,18/37] timer/etraxfs_timer: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 2 - --- 2018-12-13 Peter Maydell New
[PULL,17/37] pci-bridge/dec: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() 1 - 1 - --- 2018-12-13 Peter Maydell New
[PULL,16/37] nvram/ds1225y: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,15/37] puv3_pm.c: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 2 - --- 2018-12-13 Peter Maydell New
[PULL,14/37] milkymist-pfpu: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,13/37] milkymist-hpdmc: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,12/37] intc/puv3_intc: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,11/37] input/pl050: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,10/37] milkymist-softusb: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,09/37] gpio/puv3_gpio: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,08/37] dma/puv3_dma: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 2 - --- 2018-12-13 Peter Maydell New
[PULL,07/37] display/g364fb: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 2 - --- 2018-12-13 Peter Maydell New
[PULL,06/37] core/empty_slot: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 2 - --- 2018-12-13 Peter Maydell New
[PULL,05/37] char/grlib_apbuart: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,04/37] block/noenand: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,03/37] musicpal: Convert sysbus init function to realize function [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,02/37] Allow AArch64 processors to boot from a kernel placed over 4GB [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 1 - --- 2018-12-13 Peter Maydell New
[PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() [PULL,01/37] hw: arm: musicpal: drop TYPE_WM8750 in object_property_set_link() - - 2 - --- 2018-12-13 Peter Maydell New
[PULL,00/37] target-arm queue - - - - --- 2018-12-13 Peter Maydell New
[v3] log: Make glib logging go through QEMU [v3] log: Make glib logging go through QEMU - - 1 - --- 2018-12-13 Christophe Fergeau New
[v2] Add getsockopt for settable SOL_IPV6 options [v2] Add getsockopt for settable SOL_IPV6 options - - - - --- 2018-12-13 Tom Deseyn New
Add getsockopt for settable SOL_IPV6 options Add getsockopt for settable SOL_IPV6 options - - - - --- 2018-12-13 Tom Deseyn New
[3/3] Mention that QMP 'cpu-add' will be deprecated Update deprecation notes for QMP 'cpu-add' & HMP 'cpu_add' - - 2 - --- 2018-12-13 Kashyap Chamarthy New
[2/3] Update that HMP 'cpu_add' is deprecated in 4.0 Update deprecation notes for QMP 'cpu-add' & HMP 'cpu_add' - - 1 - --- 2018-12-13 Kashyap Chamarthy New
[1/3] qemu-deprecated.texi: Rename the HMP section Update deprecation notes for QMP 'cpu-add' & HMP 'cpu_add' - - 1 - --- 2018-12-13 Kashyap Chamarthy New
[v8,22/22] qapi: add conditions to REPLICATION type/commands on the schema qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,21/22] qapi: add more conditions to SPICE qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,20/22] qapi: add condition to variants documentation qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,19/22] qapi: add 'If:' condition to struct members documentation qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,18/22] qapi: add 'If:' condition to enum values documentation qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,17/22] qapi: add #if conditions to generated code members qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,16/22] qapi: add 'if' to alternate members qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,15/22] qapi: add 'if' to union members qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,14/22] qapi: add an error in case a discriminator is conditional qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,13/22] qapi: add 'if' to implicit struct members qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,12/22] qapi: add a dictionary form for TYPE qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,11/22] qapi: rename allow_dict to allow_implicit qapi: add #if pre-processor conditions to generated code (part 2) - - - - --- 2018-12-13 Marc-André Lureau New
[v8,10/22] qapi-events: add 'if' condition to implicit event enum qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,09/22] qapi: add 'if' to enum members qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,08/22] qapi: simplify make_enum_members() qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,07/22] qapi: pass long form enum to make_enum_members qapi: add #if pre-processor conditions to generated code (part 2) - - - - --- 2018-12-13 Marc-André Lureau New
[v8,06/22] qapi: add a dictionary form with 'name' key for enum members qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,05/22] qapi: improve reporting of unknown or missing keys qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,04/22] qapi: factor out checking for keys qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,03/22] tests: print enum type members more like object type members qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,02/22] qapi: change enum visitor and gen_enum* to take QAPISchemaMember qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
[v8,01/22] qapi: Do not define enumeration value explicitly qapi: add #if pre-processor conditions to generated code (part 2) - - 1 - --- 2018-12-13 Marc-André Lureau New
usb-mtp: use O_NOFOLLOW and O_CLOEXEC. usb-mtp: use O_NOFOLLOW and O_CLOEXEC. - 1 1 - --- 2018-12-13 Gerd Hoffmann New
[v2] hw/s390/ccw.c: Don't take address of packed members [v2] hw/s390/ccw.c: Don't take address of packed members - - 2 - --- 2018-12-13 Peter Maydell New
[v1,2/2] target/arm: defer setting up of aarch64 gdb until arm_cpu_realize Fix kvm guest debugging of AA32 guests on AA64 - - 1 - --- 2018-12-13 Alex Bennée New
[v1,1/2] target/arm: kvm64 make guest debug AA32 break point aware Fix kvm guest debugging of AA32 guests on AA64 - - - - --- 2018-12-13 Alex Bennée New
[v2] util: check the return value of fcntl in qemu_set_{block, nonblock} [v2] util: check the return value of fcntl in qemu_set_{block, nonblock} - - - - --- 2018-12-13 Li Qiang New
[v2] log: Make glib logging go through QEMU [v2] log: Make glib logging go through QEMU - - - - --- 2018-12-13 Christophe Fergeau New
block/mirror: add missing coroutine_fn annotations block/mirror: add missing coroutine_fn annotations - - - - --- 2018-12-13 Stefan Hajnoczi New
[v7] qemu-img info lists bitmap directory entries [v7] qemu-img info lists bitmap directory entries - - 2 - --- 2018-12-13 Andrey Shinkevich New
[PULL,3/3] 9p: remove support for the "handle" backend [PULL,1/3] 9p: use g_new(T, n) instead of g_malloc(sizeof(T) * n) - - 1 - --- 2018-12-13 Greg Kurz New
[PULL,2/3] xen/9pfs: use g_new(T, n) instead of g_malloc(sizeof(T) * n) [PULL,1/3] 9p: use g_new(T, n) instead of g_malloc(sizeof(T) * n) 1 - - - --- 2018-12-13 Greg Kurz New
[PULL,1/3] 9p: use g_new(T, n) instead of g_malloc(sizeof(T) * n) [PULL,1/3] 9p: use g_new(T, n) instead of g_malloc(sizeof(T) * n) - - 1 - --- 2018-12-13 Greg Kurz New
[PULL,0/3] 9p patches 2018-12-13 - - - - --- 2018-12-13 Greg Kurz New
[2/2] migration: introduce pages-per-second optimize waiting for free thread to do compression - - - - --- 2018-12-13 Xiao Guangrong New
[1/2] migration: introduce compress-wait-thread-adaptive optimize waiting for free thread to do compression - - - - --- 2018-12-13 Xiao Guangrong New
qemu-options: Remove deprecated "-virtioconsole" option qemu-options: Remove deprecated "-virtioconsole" option - - - - --- 2018-12-13 Thomas Huth New
[v5,73/73] cputlb: queue async flush jobs without the BQL per-CPU locks - - - - --- 2018-12-13 Emilio Cota New
[v5,72/73] cpu: add async_run_on_cpu_no_bql per-CPU locks - - 1 - --- 2018-12-13 Emilio Cota New
[v5,71/73] cpus-common: release BQL earlier in run_on_cpu per-CPU locks - - 1 - --- 2018-12-13 Emilio Cota New
[v5,70/73] cpu: protect CPU state with cpu->lock instead of the BQL per-CPU locks - - - - --- 2018-12-13 Emilio Cota New
[v5,69/73] cpu: rename all_cpu_threads_idle to qemu_tcg_rr_all_cpu_threads_idle per-CPU locks - - - - --- 2018-12-13 Emilio Cota New
[v5,68/73] xtensa: convert to cpu_has_work_with_iothread_lock per-CPU locks - - 1 - --- 2018-12-13 Emilio Cota New
[v5,67/73] sparc: convert to cpu_has_work_with_iothread_lock per-CPU locks 1 - 1 - --- 2018-12-13 Emilio Cota New
[v5,66/73] riscv: convert to cpu_has_work_with_iothread_lock per-CPU locks - - 2 - --- 2018-12-13 Emilio Cota New
[v5,65/73] s390x: convert to cpu_has_work_with_iothread_lock per-CPU locks - - 1 - --- 2018-12-13 Emilio Cota New
« 1 2 ... 2057 2058 20594265 4266 »