Show patches with: Archived = No       |   28033 patches
« 1 2 ... 67 68 69280 281 »
Patch Series A/F/R/T S/W/F Date Submitter Delegate State
MAINTAINERS: add maintainer entry for FREESCALE QUAD SPI driver 1 - - - --- 2015-01-15 Han Xu Accepted
[1/2,v2] nand_base: SOFT_BCH: Request strength over bytes - - 1 - --- 2015-01-14 Aaron Sierra Accepted
mtd: denali: Disable sub-page writes in Denali NAND driver - - - - --- 2015-01-14 Dinh Nguyen Accepted
mtd: atmel_nand: return max bitflips in all sectors in pmecc_correction() - - - - --- 2015-01-14 Josh Wu Accepted
[RESEND] mtd: fsl-quadspi: Fix module unbound 2 - - 1 --- 2015-01-13 Fabio Estevam Accepted
[1/1] mtd: fsl-quadspi: improve read performance by increase AHB transfer size 1 - - - --- 2015-01-13 Frank Li Accepted
mtd: denali: remove unnecessary stubs - - - - --- 2015-01-13 Masahiro Yamada Accepted
[1/1,v2] mtd: spi-nor: fsl-qspi: support deep sleep mode for imx6 sx chip 1 - - - --- 2015-01-12 Frank Li Accepted
mtd: nand: default bitflip-reporting threshold to 75% of correction strength 1 - - - --- 2015-01-12 Brian Norris Accepted
[1/1] mtd: spi-nor: fsl-qspi: support DSM fro imx6 sx chip - - - - --- 2015-01-12 Frank Li Accepted
jffs2: compr_rubin: Remove unused function - - 1 - --- 2015-01-11 Rickard Strandqvist Accepted
nandsim: remove unused STATE_DATAOUT_STATUS_M and OPT_SMARTMEDIA - - - - --- 2015-01-11 Akinobu Mita Accepted
mtd: nand: ams-delta: fix overwritten mtd_info->owner in initialization - - - - --- 2015-01-11 Akinobu Mita Accepted
[2/2,v2] UBI: Block: Add blk-mq support 1 - - 1 --- 2015-01-10 Richard Weinberger Accepted
[1/2,v2] UBI: Add initial support for scatter gather - - 1 1 --- 2015-01-10 Richard Weinberger Accepted
mtd: denali: fix incorrect bitmask error in denali_setup_dma - - - - --- 2015-01-09 Dinh Nguyen Accepted
[v2] mtd: nftl: reorganize operations in condition check - - - - --- 2015-01-07 Andy Shevchenko Accepted
Documentation: fsl-quadspi: Add an entry for the imx6sx compatible string - - - - --- 2015-01-07 Fabio Estevam Accepted
[v3,3/3] mtd: nand: add Toshiba TC58NVG0S3E to nand_ids table - - 1 - --- 2014-12-31 Oleksij Rempel Accepted
mtd: nand: remove duplicate comment line - - - - --- 2014-12-31 Baruch Siach Accepted
UBI: fix soft lockup in ubi_check_volume() - - - - --- 2014-12-30 hujianyang Accepted
[mtd-www,4/4] faq: ubi: note that CONFIG_MTD_NAND_VERIFY_WRITE is dead - - - - --- 2014-12-20 Brian Norris Accepted
[mtd-www,3/4] doc / faq: spelling, grammar, etc. - - - - --- 2014-12-20 Brian Norris Accepted
[mtd-www,2/4] source: update git tree information - - - - --- 2014-12-20 Brian Norris Accepted
[mtd-www,1/4] footer: drop 'Last updated' and other buggy footer notices - - - - --- 2014-12-20 Brian Norris Accepted
[v5] UBI: block: Continue creating ubiblocks after an initialization error - - 1 - --- 2014-12-19 Dan Ehrenberg Accepted
[V7,1/1] driver:mtd:spi-nor: Add quad I/O support for Micron spi nor 1 - - - --- 2014-12-17 Bean Huo Accepted
mtd: spi-nor: support for (GigaDevice) GD25Q128B - - - - --- 2014-12-16 Rafał Miłecki Accepted
[V2] mtd: bcm47xxpart: support SquashFS with an original magic - - - - --- 2014-12-16 Rafał Miłecki Accepted
[GIT,PULL] MTD updates for 3.19 - - - - --- 2014-12-16 Brian Norris Accepted
[GIT,PULL] UBI/UBIFS updates 3.19-rc1 - - - - --- 2014-12-12 Artem Bityutskiy Accepted
mtd: map_ram: Enable mtdoops - - - - --- 2014-12-11 Alessio Igor Bogani Accepted
mtd: bcm47xxpart: lower minimal blocksize to 4Ki (from 64Ki) - - - - --- 2014-12-08 Rafał Miłecki Accepted
mtd: fsl-quadspi: Fix module unbound - - - - --- 2014-12-05 Fabio Estevam Accepted
[1/2] mtd: mtd_oobtest: Fix bitflip_limit usage in test case 3 - - - - --- 2014-12-05 Roger Quadros Accepted
[2/3] mtd: nand: added nand_shutdown - - - - --- 2014-12-05 Brian Norris Accepted
[1/3] mtd: implement common reboot notifier boilerplate - - - 1 --- 2014-12-05 Brian Norris Accepted
[v2,RESEND,03/11] mtd: st_spi_fsm: Fix [-Wsign-compare] build warning - - - - --- 2014-12-04 Lee Jones Accepted
[v2,RESEND,01/11] mtd: st_spi_fsm: Extend fsm_clear_fifo to handle unwanted bytes - - - - --- 2014-12-04 Lee Jones Accepted
[RESEND,1/3] mtd:mtdblock: remove the needless mtdblks_lock - - - - --- 2014-12-03 Gu Zheng Accepted
mtd: nand: jz4740: Convert to GPIO descriptor API 2 - - - --- 2014-12-02 Lars-Peter Clausen Accepted
mtd: nand: gpmi: remove deprecated comment 1 - - - --- 2014-12-02 Boris Brezillon Accepted
mtd: bcm47xxpart: support TRX data partition being UBI - - - - --- 2014-12-01 Rafał Miłecki Accepted
mtd: spi-nor: use IS_ERR_OR_NULL as spi_nor_read_id returns ERR_PTR - - - - --- 2014-12-01 Rafał Miłecki Accepted
[V2] mtd: spi-nor: allow NULL as chip name and try to auto detect it - - - - --- 2014-12-01 Rafał Miłecki Accepted
[v6,3/3] mtd: nand: gpmi: add raw oob access functions - - - 1 --- 2014-11-30 Boris Brezillon Accepted
[v6,2/3] mtd: nand: gpmi: add proper raw access support - - - 1 --- 2014-11-30 Boris Brezillon Accepted
[v6,1/3] mtd: nand: gpmi: add gpmi_copy_bits function - - - 1 --- 2014-11-30 Boris Brezillon Accepted
mtd: physmap_of: fix potential NULL dereference - - - - --- 2014-11-30 Ard Biesheuvel Accepted
[3/4] UBI: Fastmap: Remove is_fm_block() - - - - --- 2014-11-30 Richard Weinberger Accepted
[2/4] Add drivers/mtd/ubi/fastmap-wl.c to MAINTAINERS file - - - - --- 2014-11-30 Richard Weinberger Accepted
[1/4] UBI: Fastmap: Add blank line after declarations - - - - --- 2014-11-30 Richard Weinberger Accepted
[6/6] UBI: Fastmap: Remove else after return. - - - - --- 2014-11-30 Richard Weinberger Accepted
[5/6] UBI: Fastmap: Introduce may_reserve_for_fm() - - - - --- 2014-11-30 Richard Weinberger Accepted
[4/6] UBI: Fastmap: Introduce ubi_fastmap_init() - - - - --- 2014-11-30 Richard Weinberger Accepted
[3/6] UBI: Fastmap: Wire up WL accessor functions - - - - --- 2014-11-30 Richard Weinberger Accepted
[2/6] UBI: Add accessor functions for WL data structures - - - - --- 2014-11-30 Richard Weinberger Accepted
[1/6] UBI: Move fastmap specific functions out of wl.c - - - - --- 2014-11-30 Richard Weinberger Accepted
[6/6] UBI: Fastmap: Add new module parameter fm_debug - - - - --- 2014-11-30 Richard Weinberger Accepted
[5/6] UBI: Fastmap: Make self_check_eba() depend on fastmap self checking - - - - --- 2014-11-30 Richard Weinberger Accepted
[4/6] UBI: Fastmap: Add self check to detect absent PEBs - - - - --- 2014-11-30 Richard Weinberger Accepted
[3/6] UBI: Fix stale pointers in ubi->lookuptbl - - - - --- 2014-11-30 Richard Weinberger Accepted
[2/6] UBI: Fastmap: Enhance fastmap checking - - - - --- 2014-11-30 Richard Weinberger Accepted
[1/6] UBI: Add initial support for fastmap self checks - - - - --- 2014-11-30 Richard Weinberger Accepted
[6/6] UBI: Fastmap: Rework fastmap error paths - - - - --- 2014-11-30 Richard Weinberger Accepted
[5/6] UBI: Fastmap: Prepare for variable sized fastmaps - - - - --- 2014-11-30 Richard Weinberger Accepted
[4/6] UBI: Fastmap: Locking updates - - - - --- 2014-11-30 Richard Weinberger Accepted
[3/6] UBI: Fastmap: Set used_ebs only for static volumes - - - - --- 2014-11-30 Richard Weinberger Accepted
[2/6] UBI: Fastmap: Fix race after ubi_wl_get_peb() - - - - --- 2014-11-30 Richard Weinberger Accepted
[1/6] UBI: Fastmap: Fix leb_count unbalance - - - - --- 2014-11-30 Richard Weinberger Accepted
[6/6] UBI: Fastmap: Make WL pool size 50% of user pool size - - - - --- 2014-11-30 Richard Weinberger Accepted
[5/6] UBI: Fastmap: Switch to ro mode if invalidate_fastmap() fails - - - - --- 2014-11-30 Richard Weinberger Accepted
[4/6] UBI: Fastmap: Remove eba_orphans logic - - - - --- 2014-11-30 Richard Weinberger Accepted
[3/6] UBI: Fastmap: Remove bogus ubi_assert() - - - - --- 2014-11-30 Richard Weinberger Accepted
[2/6] UBI: Fastmap: Fix race in ubi_eba_atomic_leb_change() - - - - --- 2014-11-30 Richard Weinberger Accepted
[1/6] UBI: Remove alloc_ai() slab name from parameter list - - - - --- 2014-11-30 Richard Weinberger Accepted
[6/6] UBI: Fastmap: Fix memory leak while attaching - - - - --- 2014-11-30 Richard Weinberger Accepted
[5/6] UBI: Fastmap: Fix fastmap usage in ubi_volume_notify() - - 1 - --- 2014-11-30 Richard Weinberger Accepted
[4/6] UBI: Fastmap: Wrap fastmap specific function in a ifdef - - 1 - --- 2014-11-30 Richard Weinberger Accepted
[3/6] UBI: Fastmap: Notify user in case of an ubi_update_fastmap() failure - - - - --- 2014-11-30 Richard Weinberger Accepted
[2/6] UBI: Fastmap: Don't allocate new ubi_wl_entry objects - - 1 - --- 2014-11-30 Richard Weinberger Accepted
[1/6] UBI: Fastmap: Fix memory leaks while closing the WL sub-system - - 1 1 --- 2014-11-30 Richard Weinberger Accepted
mtd: gpmi: Remove "We support only one NAND chip" from bindings doc - - - - --- 2014-11-27 Stefan Roese Accepted
[PATCH/TRIVIAL,4/4] jffs2: Drop bogus if in comment - - - - --- 2014-11-27 Geert Uytterhoeven Accepted
potential memory corruption in check_leaf() - - - - --- 2014-11-25 Artem Bityutskiy Accepted
returning custom error codes in ubi_eba_read_leb() - - - - --- 2014-11-25 Artem Bityutskiy Accepted
[6/6] UBI: Fastmap: Make ubi_refill_pools() fair - - 1 - --- 2014-11-24 Richard Weinberger Accepted
[5/6] UBI: Split __wl_get_peb() - - - - --- 2014-11-24 Richard Weinberger Accepted
[4/6] UBI: Fastmap: Fix races in ubi_wl_get_peb() - - - - --- 2014-11-24 Richard Weinberger Accepted
[3/6] UBI: Fastmap: Ensure that all fastmap work is done upon WL shutdown - - 1 - --- 2014-11-24 Richard Weinberger Accepted
[2/6] UBI: Fastmap: Ensure that only one fastmap work is scheduled - - 2 - --- 2014-11-24 Richard Weinberger Accepted
[1/6] UBI: Fastmap: Care about the protection queue - - - - --- 2014-11-24 Richard Weinberger Accepted
mtd: tests: abort torturetest on erase errors - - - - --- 2014-11-21 Brian Norris Accepted
[1/1] MTD: Deletion of unnecessary checks before two function calls - - - - --- 2014-11-20 SF Markus Elfring Accepted
mtd: oobtest: correct printf() format specifier for 'size_t' - - - - --- 2014-11-20 Brian Norris Accepted
[v2] mtd: nand: omap: Fix NAND enumeration on 3430 LDP - - - - --- 2014-11-19 Roger Quadros Accepted
[2/2] mtd-www: UBI: Fastmap: Fix typo - - - - --- 2014-11-12 Richard Weinberger Accepted
[1/2] mtd-www: UBI: Fastmap: Add a notes section - - - - --- 2014-11-12 Richard Weinberger Accepted
mtd: gpmi: Remove noisy error message - - - - --- 2014-11-11 Fabio Estevam Accepted
[V6] UBI: Extend UBI layer debug/messaging capabilities - - - - --- 2014-11-11 Artem Bityutskiy Accepted
« 1 2 ... 67 68 69280 281 »