From patchwork Thu Sep 24 09:31:40 2015 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 8bit X-Patchwork-Submitter: Thomas Schwinge X-Patchwork-Id: 522210 Return-Path: X-Original-To: incoming@patchwork.ozlabs.org Delivered-To: patchwork-incoming@bilbo.ozlabs.org Received: from sourceware.org (server1.sourceware.org [209.132.180.131]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by ozlabs.org (Postfix) with ESMTPS id D476014029E for ; Thu, 24 Sep 2015 19:32:01 +1000 (AEST) Authentication-Results: ozlabs.org; dkim=pass (1024-bit key; unprotected) header.d=gcc.gnu.org header.i=@gcc.gnu.org header.b=jRTqBV2H; dkim-atps=neutral DomainKey-Signature: a=rsa-sha1; c=nofws; d=gcc.gnu.org; h=list-id :list-unsubscribe:list-archive:list-post:list-help:sender:from :to:cc:subject:in-reply-to:references:date:message-id :mime-version:content-type; q=dns; s=default; b=W96HOF5UP8JGJTst DD3HfmIUyfiAAv7S43ZYpLHNwiccL4o+o/T3MhRX8mPnGFJl0X/CIyZ1FVcxg0X3 o4JUlJsFwRUcMkFRAkKUyUj6v8Mfe3syWe1Dl/0obIyUWJg3nGAFZlaWQFFqws8W ae4/iApKr40Tw6BHj3jTpv+L1lw= DKIM-Signature: v=1; a=rsa-sha1; c=relaxed; d=gcc.gnu.org; h=list-id :list-unsubscribe:list-archive:list-post:list-help:sender:from :to:cc:subject:in-reply-to:references:date:message-id :mime-version:content-type; s=default; bh=UTwjt5aRAII/sUqwcRSNKX QEoRo=; b=jRTqBV2HW4MyFeMzzSVGgtUy+BUOoVCLpJ58ug73+Njd6Lgsb9Qr5I mRrw+X/9gNpsv330Rnq+JYeVt86ZisfGdC0TXJ0d7fqApLGvnCaX18pqI6dG8oGx tr0DYaoJdR1RvnYTPRjZ61l7UWHI5haiZyROpIBMa46APzAHRb5co= Received: (qmail 69977 invoked by alias); 24 Sep 2015 09:31:54 -0000 Mailing-List: contact gcc-patches-help@gcc.gnu.org; run by ezmlm Precedence: bulk List-Id: List-Unsubscribe: List-Archive: List-Post: List-Help: Sender: gcc-patches-owner@gcc.gnu.org Delivered-To: mailing list gcc-patches@gcc.gnu.org Received: (qmail 69966 invoked by uid 89); 24 Sep 2015 09:31:54 -0000 Authentication-Results: sourceware.org; auth=none X-Virus-Found: No X-Spam-SWARE-Status: No, score=-2.3 required=5.0 tests=AWL, BAYES_00, RCVD_IN_DNSWL_LOW, SPF_PASS autolearn=ham version=3.3.2 X-HELO: relay1.mentorg.com Received: from relay1.mentorg.com (HELO relay1.mentorg.com) (192.94.38.131) by sourceware.org (qpsmtpd/0.93/v0.84-503-g423c35a) with ESMTP; Thu, 24 Sep 2015 09:31:52 +0000 Received: from nat-ies.mentorg.com ([192.94.31.2] helo=SVR-IES-FEM-02.mgc.mentorg.com) by relay1.mentorg.com with esmtp id 1Zf2sW-0000q8-Hu from Thomas_Schwinge@mentor.com ; Thu, 24 Sep 2015 02:31:48 -0700 Received: from feldtkeller.schwinge.homeip.net (137.202.0.76) by SVR-IES-FEM-02.mgc.mentorg.com (137.202.0.106) with Microsoft SMTP Server id 14.3.224.2; Thu, 24 Sep 2015 10:31:47 +0100 From: Thomas Schwinge To: Trevor Saunders , CC: David Malcolm , Jeff Law , Andrew MacLeod Subject: Re: (patch,rfc) s/gimple/gimple */ In-Reply-To: <20150920005535.GA29886@tsaunders-iceball.corp.tor1.mozilla.com> References: <20150916131510.GA21102@tsaunders-iceball.corp.tor1.mozilla.com> <1442430674.32352.109.camel@surprise> <20150918133200.GA22271@tsaunders-iceball.corp.tor1.mozilla.com> <55FC2E95.4060504@redhat.com> <20150920005535.GA29886@tsaunders-iceball.corp.tor1.mozilla.com> User-Agent: Notmuch/0.9-125-g4686d11 (http://notmuchmail.org) Emacs/24.5.1 (i586-pc-linux-gnu) Date: Thu, 24 Sep 2015 11:31:40 +0200 Message-ID: <87pp18w4cj.fsf@kepler.schwinge.homeip.net> MIME-Version: 1.0 Hi! On Sat, 19 Sep 2015 20:55:35 -0400, Trevor Saunders wrote: > On Fri, Sep 18, 2015 at 09:32:37AM -0600, Jeff Law wrote: > > On 09/18/2015 07:32 AM, Trevor Saunders wrote: > > >On Wed, Sep 16, 2015 at 03:11:14PM -0400, David Malcolm wrote: > > >>On Wed, 2015-09-16 at 09:16 -0400, Trevor Saunders wrote: > > >>>I gave changing from gimple to gimple * a shot last week. > ok, its committed now :) [...]/source-gcc/gcc/tree-object-size.c:62:13: warning: 'bool plus_stmt_object_size(object_size_info*, tree, gimple)' declared 'static' but never defined [-Wunused-function] static bool plus_stmt_object_size (struct object_size_info *, tree, gimple); ^ [...]/source-gcc/gcc/tree-object-size.c:63:13: warning: 'bool cond_expr_object_size(object_size_info*, tree, gimple)' declared 'static' but never defined [-Wunused-function] static bool cond_expr_object_size (struct object_size_info *, tree, gimple); ^ Not sure why your automation didn't catch these? Anyway, in r228080 I now committed these additional changes (as obvious): commit 24500bbaac87c5e55ded55cb1d4aabca89be1649 Author: tschwinge Date: Thu Sep 24 09:27:12 2015 +0000 Additional changes to switch from gimple to gimple * gcc/ * tree-object-size.c (plus_stmt_object_size) (cond_expr_object_size): Change the formal parameters from gimple to gimple *. * tree-ssa-sccvn.h (vn_nary_op_insert_stmt): Likewise. * tree-ssa-sccvn.c (vn_nary_op_insert_stmt): Make it static. * tree-ssa-sccvn.h (vn_nary_op_insert_stmt): Don't declare. git-svn-id: svn+ssh://gcc.gnu.org/svn/gcc/trunk@228080 138bc75d-0d04-0410-961f-82ee72b054a4 --- gcc/ChangeLog | 9 +++++++++ gcc/tree-object-size.c | 4 ++-- gcc/tree-ssa-alias.c | 4 ++-- gcc/tree-ssa-sccvn.c | 2 +- gcc/tree-ssa-sccvn.h | 1 - 5 files changed, 14 insertions(+), 6 deletions(-) Grüße, Thomas diff --git gcc/ChangeLog gcc/ChangeLog index 9c2ad9d..7bc8e91 100644 --- gcc/ChangeLog +++ gcc/ChangeLog @@ -1,3 +1,12 @@ +2015-09-24 Thomas Schwinge + + * tree-object-size.c (plus_stmt_object_size) + (cond_expr_object_size): Change the formal parameters from gimple + to gimple *. + * tree-ssa-sccvn.h (vn_nary_op_insert_stmt): Likewise. + * tree-ssa-sccvn.c (vn_nary_op_insert_stmt): Make it static. + * tree-ssa-sccvn.h (vn_nary_op_insert_stmt): Don't declare. + 2015-09-24 Rainer Orth * configure.ac (gcc_cv_ld_pie): Check for gld >= 2.26 on Solaris. diff --git gcc/tree-object-size.c gcc/tree-object-size.c index f76f160..230761b 100644 --- gcc/tree-object-size.c +++ gcc/tree-object-size.c @@ -59,8 +59,8 @@ static void collect_object_sizes_for (struct object_size_info *, tree); static void expr_object_size (struct object_size_info *, tree, tree); static bool merge_object_sizes (struct object_size_info *, tree, tree, unsigned HOST_WIDE_INT); -static bool plus_stmt_object_size (struct object_size_info *, tree, gimple); -static bool cond_expr_object_size (struct object_size_info *, tree, gimple); +static bool plus_stmt_object_size (struct object_size_info *, tree, gimple *); +static bool cond_expr_object_size (struct object_size_info *, tree, gimple *); static void init_offset_limit (void); static void check_for_plus_in_loops (struct object_size_info *, tree); static void check_for_plus_in_loops_1 (struct object_size_info *, tree, diff --git gcc/tree-ssa-alias.c gcc/tree-ssa-alias.c index f3674ae..5ff2275 100644 --- gcc/tree-ssa-alias.c +++ gcc/tree-ssa-alias.c @@ -76,12 +76,12 @@ along with GCC; see the file COPYING3. If not see The main alias-oracle entry-points are - bool stmt_may_clobber_ref_p (gimple, tree) + bool stmt_may_clobber_ref_p (gimple *, tree) This function queries if a statement may invalidate (parts of) the memory designated by the reference tree argument. - bool ref_maybe_used_by_stmt_p (gimple, tree) + bool ref_maybe_used_by_stmt_p (gimple *, tree) This function queries if a statement may need (parts of) the memory designated by the reference tree argument. diff --git gcc/tree-ssa-sccvn.c gcc/tree-ssa-sccvn.c index 57c1b55..ce79842 100644 --- gcc/tree-ssa-sccvn.c +++ gcc/tree-ssa-sccvn.c @@ -2684,7 +2684,7 @@ vn_nary_op_insert (tree op, tree result) /* Insert the rhs of STMT into the current hash table with a value number of RESULT. */ -vn_nary_op_t +static vn_nary_op_t vn_nary_op_insert_stmt (gimple *stmt, tree result) { vn_nary_op_t vno1 diff --git gcc/tree-ssa-sccvn.h gcc/tree-ssa-sccvn.h index 92ca85a..d0a911f 100644 --- gcc/tree-ssa-sccvn.h +++ gcc/tree-ssa-sccvn.h @@ -204,7 +204,6 @@ tree vn_nary_op_lookup_stmt (gimple *, vn_nary_op_t *); tree vn_nary_op_lookup_pieces (unsigned int, enum tree_code, tree, tree *, vn_nary_op_t *); vn_nary_op_t vn_nary_op_insert (tree, tree); -vn_nary_op_t vn_nary_op_insert_stmt (gimple, tree); vn_nary_op_t vn_nary_op_insert_pieces (unsigned int, enum tree_code, tree, tree *, tree, unsigned int); bool ao_ref_init_from_vn_reference (ao_ref *, alias_set_type, tree,